Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4446|回復: 3
打印 上一主題 下一主題

[問題求助] 請教各位先進一個有關post simulation的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-4-12 14:38:03 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
我測一個計數器例子,我先用Xilinx 的ise跑出該計數器的netlist後,到ModelSim將原始程式與testbench
" o3 G6 D# m) U4 V  M& W7 [. J" r1 @2 I7 a2 d3 R
以及netlist一起做post simulation(sdf file 以及Xilinx的元件庫都有呼叫進來),但是在觀察波型的時候
  k( l- U: o" g+ I1 _  U% S2 h
會發現如果testbench內沒有加上 `timescale 10 ns/ 1ps  會沒有輸出波型產生;如果加上去後才會
2 \6 X  \" m! R( R( S( V
9 R6 x5 u0 G7 y7 J) [0 j& }/ t有輸出的波型產生,想請問一下為什麼會有這樣的差異呢?麻煩大家了
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2007-4-12 19:14:43 | 只看該作者

回復 #1 handwin 的帖子

不太曉得為何你用Modelsim simulation時要把netlist加入) ?: z1 r  v& r, s

5 _1 o% E, t) G我通常在Modelsim project中添入 原程式 與 testbench 就可以執行模擬
& K! ?9 ~+ Q, D% [5 z7 l( G在simulation環境下需給它一個timescale 以利tool去判斷該在多少單位時間下顯示其波形1 V3 U  Z  H0 t+ _! w
! `# v; Y8 ~+ b) v' S" L8 A
其實你可以去改10ns/1ps你應該可以發現模擬時的時間單位會變動8 W$ \/ r# x/ R: V7 I& j5 q
不過,我印象中若沒有寫明的話,modelsim會給一個預設的單位時間# I. A7 c) }  B% O. |0 {! F3 q# Y

( q& {2 f3 \" Y+ w+ v0 h這是我的看法,有錯請指正

評分

參與人數 1 +2 收起 理由
handwin + 2 感謝啦!

查看全部評分

3#
 樓主| 發表於 2007-4-13 09:18:07 | 只看該作者
1.大大您好,首先非常謝謝您的解答,不過您所說的應該是功能驗證,不包含時序驗證;而發問的問題發生在時序驗證的階段.階段上有其不同的目
' `0 b8 @, C' X, H" h   的.* K- d: [- J6 U7 |, `  o9 |
2.之所以要加入netlist一起編譯及模擬是為了得到各元件的內部延遲時間,而呼叫sdf file是為了得到元件外部連線的wire load delay(我也不確定
- j6 h4 _; ~, D3 F   詳細情形是不是如此,有誤請前輩們予以指正)
, C! S" p. D# q" k8 f4 T1 h& _1 y2 q  L7 `7 L
[ 本帖最後由 handwin 於 2007-4-13 09:31 AM 編輯 ]

評分

參與人數 1Chipcoin +2 收起 理由
jiming + 2 感謝指教啦!再深入討論囉!

查看全部評分

4#
發表於 2007-4-16 14:19:46 | 只看該作者
我不知道你的問題出在哪裡, 不過我不管是function simulation或者timing simulation都會加`timescale 這個虛指令的, 另外ModelSim在load top module時可以指定simulation resolution, 我也會指定, 但是沒出現過你所說的問題也.
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-29 08:09 PM , Processed in 0.105006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表