Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 6443|回復: 1
打印 上一主題 下一主題

[問題求助] verilog 觸發問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-1-5 16:17:57 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
今我欲使 sys_signal 此輸入訊號在正緣時,使 rst_B1 訊號為 High。
- r( o! x, r" c% z( j4 e: i: _0 a1 ^; R) b
正緣過後(即下一個 system clk(50 MHz)),rst_B1 復歸為 Low。; ~0 W  O5 d# Z5 R
( A2 `" I( l4 y  c
sys_signal 此訊號為  60 Hz , Duty ratio 為 50 % 的方波
& Z7 a# s" ], I  P+ k
% y9 m' T; \) O- a請問應該如何撰寫此段程式?
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2009-1-9 11:20:02 | 只看該作者
always @(posedge CLK_50M or negedge PORB)
5 y/ j. D3 g, ]0 Y: J1 Y$ X2 Obegin
3 a5 o* l. k( r  if(!PORB); g. C# j+ C' o* t) n' h* d1 }# l
    sys_signal_d1 <= #1 1b'0;
" j9 d) t% k" s. i1 N  else
0 Y, K. j* }, o" u, A' f; J( }    sys_signal_d1 <= #1 sys_signal;
2 j* E( ?& W6 n0 b  b- \8 N" H4 Eend
. F; U- r  K2 X  I" f- h
& g8 Q6 i/ i' y* j6 w: s: Sassign sys_signal_pul = sys_signal & ! sys_signal_d1;9 e) x5 j; Z7 V2 d) A" ^

* v$ F* r+ A. }, |8 Malways @(posedge sys_signal or negedge sys_signal_pul); m, x7 x& i! c/ o
begin" p5 \9 W% h/ m" [( u
  if(!sys_signal_pul)
* Y1 M% _/ l6 e: d2 R0 H+ p    rst_B1 <= #1 1'b0;
6 P8 O" G2 ^$ h8 j, H* |3 m  else
6 K6 d' w6 Z& _% P1 {9 R# `    rst_B1 <= #1 1'b1;
8 k2 q4 g( o. I) _end
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-14 05:17 PM , Processed in 0.109014 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表