Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4027|回復: 2
打印 上一主題 下一主題

[問題求助] Quartus ii 記憶體

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-11-19 14:35:50 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
近來使用Quartus II的記憶體...& _+ w/ p! |& }0 |* l% t) [
利用的FPGA版子-EP2S90系列
9 d& J2 X1 n/ P其記憶體可以到4520448bits) }; u( R9 _) h, |9 t/ Q; r
可是我只放了2個rom.每一個都是16k*16的大小+ Z6 [: [6 f  c6 i$ k$ v6 j
他卻出現! ]6 T; `6 Y0 Z- X/ t: ]! Q! p
Error: Can't place 512 RAM cells or portions of RAM cells in design, e: t8 p( w* _2 N
想請教之前有作過相同經驗的人
  H# l4 E  |. `5 E& h' g5 x# V可否提共我解決之道8 R4 I% `. b7 @
或著有其他的方式可以將一首歌完整的利用fpga輸出
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-12-4 10:59:15 | 只看該作者
FPGA + 外接 flash!  flash 都是 1M 起跳 應該夠!
3#
 樓主| 發表於 2008-12-8 13:57:59 | 只看該作者
感謝Wpwang回覆∼已經使用其他方式解決!
1 N' K  z. p% f+ |新手敬上
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-28 09:35 AM , Processed in 0.101006 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表