Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13177|回復: 9
打印 上一主題 下一主題

[問題求助] 產學研合作?SoC總聯盟與TSoCC的社群合作接觸...

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-30 13:24:29 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
也許 都到大陸去了! 台灣RD們該怎麼走呢?  所以好幾個版的討論人氣都熱不起來... 所以需要產學研合作? 9 n3 z' z, x" D2 _" \4 A5 Q
不然如同 jianping讓台灣真的也有一個專業的討論版/ j( L; j+ s* E4 j1 q

- ]# d) c5 F) I& x尋求學界專家,業界先進們大家意見:* e4 ]2 @# f/ a+ V: V) h
6 p. S& I! c* n* }8 k
chip123 與 SoC總聯盟能 有怎樣的合作?學界論文研究討論?2 i1 K+ L7 s: e5 N
chip123 與 TSoCC, STC,  甚至與 SIPO 能有怎樣的合作?官方研究界技轉討論?系統晶片期刊討論?3 }* z! P% U/ Y
' Y1 A+ [: |2 [, z( T: W$ B0 e
> From: 羽君 ' [' E+ n6 r1 u1 l
> Sent: Wednesday, January 30, 2008 4:00 PM6 b$ m6 v( B/ V+ k+ f* u& r2 S9 ~
> To: chip123王志明 / M/ ?- \' C2 y! D1 Q
> Subject: Re: about 96年度SoC總聯盟成果發表會5 m' f2 D+ X* p4 S% N; B3 P
>6 _$ Y* z- W5 y3 H2 Y! j* Q0 w* _
> 由於總聯盟將於2月底交接, 之後的活動我會再請新聯盟的助理與您聯繫, 目前相關的活動訊息煩請您參考總聯盟的網站!
* D0 W) D, Q4 g5 ?' Q; L( G> http://moesoc.ee.ntu.edu.tw/index1.htm
  C2 ~9 ]  @4 q- }$ Y> 謝謝您!祝您新年快樂~  % X; l$ v6 C, _: ]6 t+ ~( P
> 羽君
/ I0 E5 f! Y0 R- }4 i8 i3 N1 w6 e7 L2 k7 K
From: <@itri.org.tw>! g) c" R& S6 s, U( }
Sent: Sunday, December 16, 2007 11:47 AM
" G+ \: U8 N  Q% m( I7 h+ PSubject: Re: TSoCC 回覆: 與Chip123 合作的相關說明
' x6 ?  ^9 [1 I9 p
/ o7 j8 z2 a; w> 最近在Chip123看到許多好的Report (e.g., US WiMAX program v.s. M-Taiwan ).  `! G' c$ e  ~0 D% y2 @
> 眾多的會員回應也代表了 Chip123的壯大. 也許STC可以好好思考雙方的互利合作.
7 D) `4 `9 j! U1 t> TSoCC may have new change within our organization. However, we also have
! t- K- f. q; }" O> SIPO  (Semiconductor Industry Promotion Office) channel.
1 c# w& O- p, b$ y% Q8 M- F8 t> ) m  @8 `5 b/ n( U. U
> Regards,
/ `' A1 ^+ R" x6 k$ c! n> - x9 I$ `1 u$ W  a
> 安宇 謹上
) N) ~7 [+ I$ q4 N
' Y" l1 S( F! |3 ]1 ~5 C4 V
% f  I  ]% T+ |技術創新需多方合作
$ U7 B& w% [( Y+ m3 g  X2 f新浪網 - 2008年2月29日  i$ H) j7 W' o+ N% |
華虹NEC針對市場對微處理器、通信類、消費類和智能卡等IC產品的需求,開發了性價比較高的0.25微米CMOS工藝技術平台,集成了領先的閃存技術,為SoC(系統級芯片)產品提供了大容量的程序存儲空間。此外,華虹NEC開發了針對SoC產品的IP(集成電路知識產權)資料庫,創新性地為 ...
# J) p6 T* T1 ~$ l
3 v# E% G3 d( g# S3 c3 b; ?張汝京:中芯國際「菱形」戰略架構成形
. y! Z0 L- I- F5 ?科技網 - 2008年3月18日
' D6 I9 e* @# \$ d4 J0 B張汝京表示,由於深圳是主機板、系統業者巨集的重鎮,因此中芯國際以12吋廠服務當地客戶,將會是以先進製程但走系統單晶片(SoC)路線為主。武漢的新芯則將同時生產邏輯IC與快閃記憶體,製程技術將以90奈米、70奈米與65奈米為主。 至於8吋廠方面,張汝京指出,上海的8吋廠的 ...3 k1 |5 J+ H1 v0 V- Z

$ }4 M  @' x8 Q0 C; C+ G4 e) r* H[ 本帖最後由 jiming 於 2008-3-30 01:58 PM 編輯 ]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
2#
發表於 2008-3-30 14:22:41 | 只看該作者

CHIP123科技論壇的優點在於即時性和便利性

CHIP123科技論壇的優點在於即時性和便利性; v  y4 v: p, w$ G7 A# o
3 v( G$ Z4 k  {3 |5 A% x. ]6 A
學界論文研究討論: 這是個很好的交流平台,老師們或研究生可以把正在研究的成果放上來和學術界師生一起討論,不用等到一年一度的ISCAS會議 或千里滔滔到國外的研討會上才發表研究心得.4 j3 `) [1 }6 m4 S9 u' e
系統晶片期刊討論: 這個跟CHIP123創刊時期 介紹各大學IC設計晶片研究中心 有異曲同工之妙 , SOC聯盟各大公司/單位 可以介紹自己的產品和研發方向 RD們更可以交換SOC產品開發心得 而不是在產品發表會才知道應走的方向9 a' T& z. Y. U6 K" \. ?9 q2 k

+ B  F2 b( u; Q- ]6 t/ l: JSOC/IP討論區應該可以另闢這幾個專區,類似板主討論區 有特殊身份的專家學者 或soc聯盟會員 才能登入參與討論 : W2 o$ l! g: W1 z0 U9 c( T* L
                                                             至於特殊身份帳號申請可透過各公司/學術單位統一窗口來辦理  + f# {3 Q$ f+ I$ B7 c7 M

6 G  J: J0 M- C[ 本帖最後由 masonchung 於 2008-3-30 02:24 PM 編輯 ]
3#
發表於 2008-3-31 22:31:51 | 只看該作者
masonchung 大的提議,也許底下這則報導所提及的 學術交流,在chip123也是可行吧?至少 SoC Design 知識領域的 即時性、便利性、互動性...
9 `( U7 Y- B/ U; E1 `5 @8 \" O  Q( K$ E/ H
官大智:學術交流 網站發表新趨勢
1 ~3 ^% U: M8 M% S' T# f$ W  * Y0 g: e$ C% C) ^( t
學術交流第一個想到的是跟同事間的合作。台灣學術界各做各的,同事間的合作可遇不可求。以我的經驗,參加學術研討會也是與同行交流的重要管道。最近我有更好的方法做學術交流,就是在網站上發表成果,也可是部份成果。我曾用Google scholar查自己的著作資料,結果發現自己的著作比預期多,像上課講義或看完期刊論文解說和註記也被人引用。 4 M2 J/ C5 }& c. A4 B: ^  P  t0 Q

$ }) d2 g2 V% y; J: U1 }中山大學重視網路發展與應用,一個由西班牙學術機構做的世界大學排名,在台灣的大學中,台大排第一、交大第二、中山第三。
6 W2 l( L/ W$ ]" e$ k& H( m
! h) b0 E! C) A, O2 N1 p一般國外學者對台灣的大學多半不很清楚,經由網路是認識一所大學很方便的管道,中山大學以往在這方面做得很多,排名自然很前面,去年中山大學資工系聘請一位國外老師,這位老師就是看到中山大學在Webometrics的排名,所以申請中山大學,也很榮幸能聘請到這個領域�有名的老師來中山大學。    X4 R7 m3 x) K7 u* t; e1 ?1 X/ B& K
  9 \1 S4 O0 k) d# N* v3 b
報導日期:2008-03-31
  g: d, A9 A+ J/ y) q( `$ a新聞來源:工商時報  A12版/學術傳播與國家競爭力系列論壇2

評分

參與人數 1 +3 收起 理由
masonchung + 3 新趨勢 新力量 感謝啦!

查看全部評分

4#
發表於 2008-9-15 18:20:36 | 只看該作者
工研院系統晶片科技中心 系統晶片技術期刊SoC TECHNICAL JOURNAL 各期題目總表* w4 o; k+ e+ w: ]' Q7 y  [; @* @

4 e5 Z. Q6 ?8 `! N' U
期別
技術領域
題目
作者
003
通訊系統/晶片系統: T( ^: O& y9 i" A5 ?* ]+ M
超寬頻技術發展剖析
' e/ r/ n# U/ d) bDevelopment Status of the Ultra-Wideband Technologies
6 G, L0 V+ y- i
莊郁民
: [# i* c5 D* I" j, b
通訊系統+ ^4 r& ]8 l/ ?* p
多頻帶正交分頻多工之超寬頻設計與挑戰MB-OFDM Design and Challenge
' t; B" g" i/ L; I9 R) {
陳慶鴻.呂明和.蔡文聖.廖丁科
9 o4 ?+ b5 m8 H+ A% R# O
通訊系統/晶片系統
# B1 i3 t! }6 a9 u
WCDMA/GSM雙模CMOS接收機
  ^/ Y& ?. c( c/ E# DA CMOS Dual-mode Zero-IF/Low-IF Receiver IC for WCDMA/GSM Application- a+ J  v+ Y6 u
許峻銘.郭明清.樓志宏.歐威揚.蘇秉恩.楊子毅4 F6 E% b) [3 }: _
通訊系統/晶片系統. x4 O" P/ k: |/ t- r
應用於超寬頻發射機系統之可程式化增益濾波器
1 Q' y" N$ N* g' m/ A( h: lA Programmable Gain Filter for Ultra-wideband Transmitter System0 K0 Q" k4 I5 P% D7 t0 A
李志常( D2 ]3 {" e) Z- A$ ?
通訊系統/晶片系統& S* b* x$ h* f" I" W5 e
DTV 調諧器架構分析
9 S  s, E" a/ G( XDTV RF Tuner Architecture Technology Analysis  a& S3 ?& n- ^- m) s5 _
吳思賢
) ?; @3 R3 P# e# N
晶片系統- c1 q9 r/ m8 F7 c  f8 g/ V
通訊系統中數位類比轉換器(DAC)之電路設計! i$ w! w- `9 l% a( M8 V( h
Design of DAC for Communication% v: y' K. _& N5 E& f
劉沛潔/ ~- P0 J6 X  e! c1 s" D
晶片系統
1 g. o2 i, w& J% c
架構階層功率估測模擬器& D; @* w" J: f; d
Architecture Level Power Estimation Methodology
, i, B0 D$ V; M" `8 S; j. [
張展豪.陳玉書.廖宜道
- N1 z! }: ^  b0 x9 _
晶片系統6 M: l" x" a' R! Q- h1 n
DVFS SoC設計與實現
+ D1 L( u, k* [7 v) BDVFS SoC Architecture & Implemenation& f8 V* N. M  p% _7 B
賴建元.林勁宏# j  j/ M! u2 I0 N- G$ @( _
晶片系統9 K; Q: `" G; t9 D! T" }/ q
平台式DMAC之考量與實現# p# L$ a& W% Q2 h, f
Consideration and Implementation of Platform-Based DMAC
1 @, _: Y" k0 T( ^& e
沈志堅
' A( c, e( f) L' |$ Q- O0 }/ d
晶片系統
$ l8 Y$ R7 f! _& T7 [7 j
平台式記憶體控制器的考量及實作. m- _" U% b, M$ L! j' P
Consideration and Implementation of Platform-Based MC
: Q7 ]1 x5 Z0 Y4 u3 p
林群超
& X+ s) h* R+ f" n+ ~/ Z
通訊系統" i% B. `, r8 W5 f0 r
多媒體壓縮標準 H.264 面面觀  V- e$ ]9 E3 c2 s. \
The Profile of H.264
0 r: i0 Z# B6 Q
曾紹崟.許志高
3 o8 V& i; K; t. h1 ^+ J: v
晶片系統
' A# l+ R. y+ z. b7 u0 X# Y5 X
準確的SoC混合模式模擬5 k3 i& x% q4 J; y8 m' ?$ {: j
Accurate Mixed-Mode Simulation for Soc8 A6 I$ ~$ ~& k! O! X# l
張永嘉.林詠捷.何榮基.羅珮文1 ?5 H( Y( B! w; W* z& x+ C
晶片系統
. B" t+ e* C/ u: v3 Q$ O% j1 E6 p6 r
應用於改善可靠度/可製造性後段設計自動化流程的方法! e6 m+ U! z! Z4 `
Design Automation of Backend Flow for Improving Reliability/Manufacturability
' a! x/ _; m. Z1 Q: Y& W+ D7 B" c
蘇秀雲.黃俊才.彭政傑
6 s5 t5 b. Q/ m5 b
3 u/ |4 m$ X/ i7 L1 W
晶片系統
: E  ~" V! r0 n7 @) G# P; i2 ~; e& }
Soft IP Hardening方法與實現
1 ]) R5 C6 o0 F9 BSoft IP Hardening Methodology & Practice
5 z" M. }) p7 G4 u0 ]
林勁宏.傅志新5 T! Z, Q2 @1 p9 j: u
晶片系統8 C, R* L% d% w8 J  ]
0.13微米混合電壓共容輸出入單元設計簡介
2 M- T, ^" T) C1 pDesign on Mixed-Voltage-Tolerant I/O Cell in 0.13-&micro;m CMOS Technology
9 ?& Y$ O& n  o) w! S5 b
莊哲豪1 D+ J" m8 }6 f3 u9 Y' S
004
通訊系統, s6 a3 ?1 c# ~* d: |( K4 O6 f. f
WiMAX發展之機會與挑戰
" D6 L" ~1 i$ |8 U2 P* sWiMAX Opportunities and Challenges
2 ?' _( @. e  F- D7 d* Y: j# S
康志堅  m3 D7 h1 c8 L( r& ]( \! t, j* X9 a2 _
通訊系統
/ n- [' I2 B; ^3 q+ |9 z: A* U
WiMAX 標準與認證簡介
7 i4 w( ~! [$ V9 w( U* oAn Introduction to WiMAX Standard and Certification
. }. J, G, T+ Q9 z
甘傑英
- a4 o3 c3 y$ e% T1 R0 j" X- r# u9 h
通訊系統/晶片系統
1 u" W6 F! F! `- D
DTV調諧器的低雜訊放大器簡介
( r1 l2 G0 j. T1 P7 D3 g; fIntroduction to a DTV Tuner Low Noise Amplifier
. T4 E8 K4 k3 m/ {7 R
李青峰
1 Z7 ^' w& }% {( o, Y& m! @
通訊系統/晶片系統
3 u; P# b7 P6 M8 {
全頻CMOS UWB接收機
* Y, G6 b6 k- k4 v3 A7 N4 HA CMOS Receiver for Full-band UWB Communication Systems 3 v  p: {3 C3 ]
王志偉.陳彥宏
; v# h! m. V- Q' l5 K# \8 ]
晶片系統
3 m7 t4 {) `. f+ \& Y
應用於動態電壓調整系統之數位式可程式化切換式降壓器# S) r2 B' _, y1 G6 Y1 A3 f8 W
Digitally Programmable Buck Converter for Dynamic Voltage Scaling Systems7 [8 o. x* f  {# w- l# A4 E8 u( a
林崇偉
- p0 F) [+ `; L' X1 U
通訊系統/晶片系統
. r6 ?! `: ^; ]! L
射頻積體電路之靜電放電防護設計
$ d) _- E9 z; {% [ESD Protection Design for RF IC
; @9 z( \6 m$ C
黃柏獅.徐育達4 V" G! u& u1 P+ j
通訊系統/晶片系統
; s1 u2 Y7 T) Q" v
PAC數位訊號處理器6 Q2 ^& w3 d+ ^; N
PAC DSP. T, U7 G- c: q' @! ~( B1 ]8 {9 ?
張展豪.
2 q% z6 O, ?: }8 t  e1 a
5#
發表於 2008-9-15 18:21:15 | 只看該作者
通訊系統/晶片系統' u- A7 n# u' O
PAC DSP 驗證流程
) _! m( ]' t2 P5 jPAC DSP Verification Flow2 ~- |! \3 Z7 L5 z+ V, g
廖宜道.
! g7 R4 S* [7 t" y0 O6 n; C
通訊系統/晶片系統
- x- D2 s: Y5 L! j
以系統層級設計方法建立PAC PMP SoC驗證平台
" L7 b' w8 H( ~Construct A PAC PMP SoC Verification Platform Using ESL Design Methodology
' F6 t* L  E+ S; [: M
陳紀綱.蘇培陞
. L8 l% ?, f! {" ~7 [* y/ N7 Q
通訊系統/晶片系統
3 I$ A* t" L7 q/ Z- |6 G4 y
應用在PAC平台上作業系統層級的DVFS設計概論
7 @( j+ e5 n! e0 v0 G) w4 l; MOS-Level DVFS Mechanism Concept and
/ W4 b# G! S) WMethodology on PAC Platform
1 E# t0 ?5 W8 j6 S8 c4 W% T
莊維彥.張明偉
$ \- O: g2 G. y; r4 U8 a% c. r
通訊系統
7 _2 s9 D1 T4 n+ }- K, m* [! @
H.264/AVC、VC-1 與 AVS-視訊演算法比較
' s. Y) q3 C" L7 cThe Comparison of H.264, VC-1 and AVS-Video algorithm
! X  P8 `4 U4 a7 b
曾紹崟2 o/ _) y2 Z0 J+ r$ B  e
晶片系統/ d- F* ~. e' w
可操作在GHz暨50%責任週期之新型虛擬分數除法時脈產生器
8 c- z- F3 {7 \8 a8 xThe New Approach of Pseudo Fractional-N
- g1 E" ?6 K$ {  _8 a0 PClock Generator for GHz Operation with 50% Duty Cycle
. z; c* A( z6 _7 u( k) d* f
楊維斌.陳慶造.郭書菖
2 d1 z. x. E* B* }# W7 G
晶片系統# y& ?  ?* b+ l- l" ~) R
靜態隨機存取記憶體 (SRAM )中的漏電流控制7 ^; h5 W/ I5 D- W
Leakage Control in SRAM
0 n8 e# F: J6 B
  8 r5 h& n9 M  o8 Q1 O# B
洪子健) [0 l& U; q: O
: [6 A1 m' {* G/ _: J
晶片系統
4 F! `/ g, k  c: e+ L) ~+ @
用於降低峰值電流之相反相位時鐘樹  e7 ~8 a- T! p' a
Opposite-Phase Clock Tree for Peak Current Reduction+ u8 R: Z$ P' z) {1 R
1 b9 n0 h* M2 j/ [& I# b) q: Y
聶佑庭
" ]- W8 J) h) y2 Y/ _  K
晶片系統, S# P3 E) M- ]; ], n+ ]$ L
先進閘級層次模型評估與研究
( i1 c! W6 v6 I, O( C$ x. _Advanced Gate Level Model Survey and Research
2 T* |- c8 j& c' v+ d. z( X# I
邱怡芳.郭建興.鄭良加5 e/ {: W) C' w; d+ N4 A2 d9 F  t
晶片系統: P. {0 L: u' J* m0 Z/ f
深次微米元件特徵化趨勢與考量  i) u8 L3 `4 I
Trends and Considerations for VDSM Cell Characterization$ {' D, L) ?- e+ |( L- E8 V( e
郭建興# ~! Z% {1 J& z4 h  Q7 ^/ _/ W) s
通訊系統/市場分析$ K4 \' U, E; @
DVB-T/DVB-H Silicon Tuner市場分析& M- X9 G& E1 |$ W/ ^3 W2 E
Market Analysis of DVB-T/DVB-H Silicon Tuner$ k8 i7 _( d9 A. R2 a3 _9 h% |9 U
洪威  |; z; _/ ]* O9 |8 f5 v* `; c! M/ u
通訊系統/晶片系統
( v0 f8 {: J, [1 X9 L2 N. r% R
WiMAX RF Front-end介紹0 z  h3 E% p3 c+ N( O/ w
An Introduction for RF Front-end Circuits Used in WiMAX Receiver
: ?' k& A" G& z
黃大榮) ]9 h. Q- c9 ]) Z* ~
通訊系統
! L- U4 `0 N; x7 z0 Q1 x+ d: W' z7 Y
IEEE 802.16-2004正交分頻多工實體層之下鏈BER模擬
( Q  Q+ {) ?5 q7 ?9 D/ l" ]Downlink BER Simulation for IEEE 802.16-2004 OFDM-PHY
) d0 a( q5 a: S& f; f. T& ~9 ~
丁邦安.吳家豪呂明和   ?$ @9 d9 ]* k0 y6 D  X
通訊系統
; N- B* D3 W) h& M& D
IEEE 802.16 無線網路安全技術介紹
1 _1 S% g" g" m7 W+ m, C1 T+ W+ dIntroduction to IEEE 802.16 Security% @4 n4 F/ J0 ^
林頌為
4 K* b/ P6 s3 L/ ]1 q7 H
通訊系統
/ S( H# f# ~) Z) v3 u- O: R
以Altera Stratix FPGA發展板實現一可變組態多路徑衰減通道模擬器
2 k( O; P; I( h& {7 ]Implementation of a Configurable Multipath Fading Channel Emulator on Altera Stratix FPGA Development Board
# r0 m6 k, Z7 Z2 \
唐鴻威.許仁源
' _. ^, d4 {+ ]: x丁邦安
$ d! J/ J: T$ e5 h
晶片系統0 D+ t% @9 `3 l- Z' P3 ~
一套實際而有效率的處理器自動驗證方法
& K) ^. A- i  y2 BAn efficient methodology of Processor Automatic Verfication# H& ^# E1 Y1 B  l+ P2 k3 |
劉明倫.吳日昇.林仁傑
0 l  O$ P, {8 X( b5 k! N5 e
通訊系統/晶片系統
9 F! m5 f& l, \- E" M' D/ D. ]2 D, u
應用在數位訊號處理器的先進直接記憶體存取控制器
7 }5 n' x& a# n' Z! ?! QAdvanced DMAC for DSP Processor
# |& \2 }& @  j/ s* E8 V
陳玉書.屠禎繼7 _* E( j* b& Z7 F0 ?/ ?
通訊系統
( z5 g5 U" h- _* `, |1 Y# x9 E$ @# X
模型搜尋式的可變長度編碼法/ u2 M, Z/ x# }  J4 K
A PATTERN-SEARCH METHOD FOR H.264/AVC CAVLC DECODING
  j' Q. L; n) x  ]
曾紹崟.謝天威$ m* D0 d: U' E
通訊系統
' l/ J2 H$ L: u# U
超高頻無線辨識系統應用與標籤設計
; T- H7 P) q7 x0 ~( f% ?: FTags Design and the Applications of the UHF RFID Systems
! k. o! X, J9 I( @  S1 |
張守傑
- v/ n( \' [5 ~
通訊系統/晶片系統
( y; _( ?+ I4 ~8 f! o4 o9 M, e: o
A 3mW 20KHz Sigma-Delta Modulator with 93dB DR in 0.35um CMOS+ R- N6 @( O; O9 @# E0 P( l, A
張用璽
. N0 O. @9 O+ d; p2 e
6#
發表於 2008-9-15 18:21:48 | 只看該作者
通訊系統/晶片系統: o* A0 R( n+ U- g# E
PCIe Gen2 實體層類比前端
9 S/ a: O8 `3 O+ O( hPCIe Gen2 Physical Layer Analog Front End
! c9 |. ^6 U0 I4 M9 h/ |( X
翁盟智.林穎甫.陳欣昭.黃立仁3 O* r, ]/ f, ^
通訊系統/晶片系統& k5 E9 D" R& R3 h* f
多頻帶鎖相迴路分析及設計
; i6 I! X' _9 m& m: o0 M0 M+ cAnalysis and Design of Multi-Band Phase-Locked Loop
% p- E( h! T1 W) G
陳慶造.張仲宇.卓峰信2 ]: F3 X1 ]6 B( V7 f+ v" \; [
通訊系統7 ^$ z6 s# J# v+ `/ }+ N6 ?% l
應用於Giga-bit/s時脈與資料回復電路之相位檢測器+ H% z& C/ ~/ }( Y, K! T
A Phase Detector for Giga-bit/s Clock and Data Recovery Circuit
1 X: ~- c  S% |  w6 s9 d
李瑜.鄭乃禎
& z" R8 j% A$ B8 e, X) [3 {
晶片系統0 x3 p' h: Z" Y+ K
閘級層次低功率實現技術之改善; ?1 ^& r; B$ H- h
Improvement of Gate-Level Low Power Implementation Methodology
) R# [  h5 X/ C9 _! ]# T! o
龍巧玲.陳繼展. n2 X; H# {6 N- ~; o3 {
晶片系統
' ~& H) V1 X6 i
可製造性設計應用參數的萃取--晶片導線特性可變異性研究; P1 ^0 c/ _/ ?+ a2 y" x' k
A Study of Interconnect In-die and Die-to-die Variations for DFM Applications
- ^5 F) I, q: c+ {
彭政傑.陳來福.黃俊才.黃清吉.蘇秀雲
: W  T; C) v- i
晶片系統
  D  c: ?2 I) Q1 U  ^
南港IC設計育成中心
  N9 e+ {* n1 K, x5 P' l2 @$ YThe Position and the Role of Nangkang IC Incubation Center
" e# }; c; J- ^" _
陳燕民.黃珮貞.宋瑩% J$ @  a) ~4 ~0 S# |' i
晶片系統& E  D1 i# r6 Z8 c( _7 p" z4 P
行動寬頻裝置的發展現況與市場前景: k; A8 }( C! U8 x9 W
Current Status and Market Outlook of Personal Mobile Broadband Devices

' p# U* O5 `' c8 c
朱思穎
1 Q$ k0 R# R( {0 ^& i/ ~* K
通訊系統/晶片系統' ]# E7 |1 u/ G0 J  y$ n$ Y9 N
PAC可攜式多媒體播放器系統晶片
' p( q$ t6 t# e8 I( }9 F) RPAC PMP SoC
) ?$ s5 R) z, D7 X& A  @4 R
謝天威.紀坤明.賴建元
2 f% s' Z) |6 X  N$ M
通訊系統/晶片系統
' l" }2 [5 N7 ], d/ P
PACDSP MP3 解碼器/ f. G+ o- f* }& W" o
PACDSP MP3 Decoder3 |; Q4 E' ]& w5 g9 i/ Z
楊仁魁
& w! z2 `# v& q1 L- z
通訊系統/晶片系統
- I+ f; M" W6 s  M2 V. A' o5 A# }
針對多媒體應用之高效能平台式直接記憶體存取控制器
5 M& U% Y( g7 H) m$ l+ tAn Efficient Platform-Based DMA Controller for Multimedia Application
* m% t9 f  i. p1 M
余家豪.劉仲凱.康智恆.王尊賢.沈志堅.曾紹崟
6 [3 f" C5 M0 u$ O, A8 g
通訊系統
4 ?8 R/ ?7 \: c7 z: |
行動式 WiMAX 認證標準的制定現況與動態
+ Y. D, ~8 @" v; {8 j- h( DAn Overview of the Mobile WiMAX Certification Status' O6 F# A4 D- U9 a. l
江守平.甘傑英5 q* O/ O3 c5 D& b
通訊系統
. R$ w; F. {( c, y" D: g
Mobile WIMAX省電模式簡介
% c; Y( k& A3 j$ yAn Introduction to Mobile WiMAX Power Saving Mode

6 J8 J& `6 u+ O- ~
顏鴻傑
8 g) ~3 {" Q7 P, r: ?' T# ]
通訊系統
7 I, j; s$ {; F( D1 o7 b7 S
空間多工無線傳輸之低複雜度偵測器' k9 a" Q, }4 M$ D# w* s
JQRPSD Detection with Low Complexity for SDM Wireless Communication+ Y! t" u7 q/ o% |* B
林心蕾
9 {. L( O+ K! ?# }1 s# I
通訊系統/晶片系統
$ }; Q. y  w# X* ^" q
應用於WiMAX發射機之具有直流偏移補償基頻濾波器
! h: ]- @4 b8 GA Baseband Filter with DC Offset Compensation for WiMAX Transmitter Applications
# b6 {  z% M. g% T
郭信宏
, v) x# C8 {. @1 z3 c
通訊系統/晶片系統  S( G% g  [5 @1 Q( Q0 x3 F
超低功率無線積體電路設計考量/ a/ h" k* s) d6 l
Ultra Low Power Wireless Integrated Circuit Design Considerations
' Z3 L4 o3 y- x
陳威憲.莊凱翔* B4 h1 i% {" B0 d+ o$ T, z
通訊系統% @( B* Q  v4 d
電容感測前置放大電路之設計
3 B/ L, L8 i% L0 a: ?The design of capacitive sensing preamplifier
5 M& @8 i6 c  j9 f; C* e
鍾啟晨.夏廷魁
$ y: l0 l" o* I5 d( }
晶片系統
) @8 J7 F: w! \* B) O, M" v$ B6 z! l
實現在90奈米製程之低電壓數位類比轉換器! m1 u. l0 K; N: j3 w# Q9 `3 u
A 1.2V 10-Bit 200MS/s Current-Steering D/A Converter in 90-nm CMOS
1 ~5 v5 \3 T1 ~$ L$ o( Z* ~/ U2 L
游爵豪
) ]: j. i! x9 k! c% g% ~- l# h  f, h
晶片系統
3 O/ |  Z/ c' A" S$ ?* T6 ]
90奈米多功能可控制輸出入單元設計簡介
# k1 w. e  Q3 D% f% J1 ~8 P: RDesign on Configurable I/O Cell in 90nm CMOS Technology
" O- W3 p+ @1 Z4 S
梁詠智.黃清吉.胡芳綾,陳佳惠
9 B$ u  d. A+ [( G: Z, r; `
7#
發表於 2008-9-15 18:22:37 | 只看該作者
晶片系統
. T# e) Y, |. a' x6 d0 z
應用超低電壓動態浮點輸入暫存器於高速除4/5雙模組除頻器電路7 |* H- m/ K" F5 S0 [4 D# T9 ]8 k
A New Dynamic Floating Input D Flip-Flop (DFIDFF) for High Speed and Ultra Low Voltage Divided-by 4/5 Prescaler

& d+ P8 [+ t" o4 }+ \/ W
趙廷昇.張仲宇.卓峰信6 S9 a7 o- Z% |# n1 y
晶片系統4 m( ^. I& c6 N5 N
暫存器峰值電流之改善方法A Method for Reducing Peak Current of Registers
5 y( A# b  H9 P: P4 l: w( V! S$ Q
聶佑庭4 t7 C* s, b* g- a* B/ b
晶片系統- t! P& F1 U9 D1 s
使用電子系統層級設計方法開發雙核心系統晶片平台
; {) X  M# C! NApplying ESL in A Dual-Core SoC Platform Designing
' a; P0 \) Q1 S1 i' Z2 ]
蘇培陞.陳紀綱.林士哲0 h+ `$ U2 @4 H  a- g9 ~$ U+ A
007
通訊系統/市場分析) y: U+ B0 u! n# `( x$ `5 W; _
行動式WiMAX市場展望
% N2 c' u0 E* a  }- [5 wMarket Perspective of Mobile WiMAX
% x$ e3 ^& S* ?) s1 j" Y
康志堅
2 M' \- n0 Q7 {0 \. Q" t
通訊系統通訊系統/晶片系統
% S+ s% U9 W0 V- w/ E) `  s3 V
AAC Decoder在PAC DSP上的實作+ q1 V, ~  c8 r- V0 {0 N3 O  K- N
AAC Decoder Implementation on PAC DSP( v9 o8 N& @! f9 h0 T
劉俊男.洪瑞鴻.蔡宗漢
% V' h- Q# u% h9 |3 A" S( n% t; ?
通訊系統通訊系統/晶片系統
$ D. Q7 I' h: ?* e* `0 d/ b5 k$ r
JPEG 解壓縮在PAC DSP上的實作
) H" k( n4 t! {) }3 ~( bJPEG decoder Implementation on PAC DSP% Z2 l9 X) i7 s: H2 v* p
曾紹崟.范益瑄4 ?7 z9 y, K. [+ e+ ~
通訊系統通訊系統/晶片系統  N9 D1 a' z2 Q3 n/ U
網路電視應用在PAC SOC平台上資料流程與流量的分析  D5 c7 }( x0 C) k% a2 K
Data Flow and Analysis on PAC SOC Platform for IPTV Application

+ y( R: Z9 A2 V3 q+ [- Y
陳澤民.楊仁魁.曾紹崟& t8 P+ y/ i( h) n7 Z3 N, l' e
通訊系統9 R  i! f. u2 c6 P  s* T
WiMAX媒體接取層自動重送要求機制簡介
; ^& @/ @2 B8 S5 d' z$ _& J. ~An Introduction to WiMAX MAC ARQ Mechanism+ [) I% W& b6 w5 x
游文章, {7 g' v6 u% ?8 `
通訊系統
: p+ v! b4 X, S& n1 a* b2 W
IEEE 802.16e硬式換手機制之簡介3 E# y7 ~- q$ C/ L0 O
Introduction to Hard Handover Mechanism in IEEE 802.16e
. s! n1 Q5 o0 o0 l) w8 \
陳富城
; ]* v% C; w  x
通訊系統- V) u: y& k' p$ x& R( F
WiMAX系統下之多重模式通道估測及追蹤& @! J; f4 j% p# W- `/ d
Multimode Channel Estimation and Tracking in WiMAX System: A( e0 U. M% ?  @1 z
謝雨滔.丁邦安.陳治宇
6 Z+ b4 m/ p2 \( p2 M
通訊系統/晶片系統
5 K4 ~5 T: q/ W" y
應用於WiMAX系統之高效能可規劃FEC架構設計
  l& F0 Y# P& zHigh-Performance Reconfigurable FEC Architectures for WiMAX
8 w) i4 q" W, C% t* X# S& m
朱峻源.林承鴻
% J8 [, B1 `" F, a5 ]5 K; l
通訊系統/晶片系統
+ ^$ v  Y, f4 Z! u/ D" A+ `
WiMAX RF Front-end Transmitter介紹/ e+ Y5 y8 U: k8 k6 k9 w4 g$ R
An Introduction for WiMAX RF Front-end Transmitter

$ b6 j5 \' m" T8 z2 ]/ [
李仰涵9 o' a# }+ |8 q( J) P
通訊系統/晶片系統
% H, T/ M' ]+ h, H' u6 c
A 1.2V, 10bits, 100MSPS低功率管線式類比數位轉換器for MIMO WiMAX
) {  |9 X- v8 s' W/ f$ T% Z- w. ?; M7 NA 1.2V 10bits 100MS/s Low Power Pipelined ADC for MIMO WiMAX
  L7 U* f, C8 m9 f
陳玟蕙
9 r6 D6 ]; U8 [  \. @* f8 I
晶片系統2 i& E2 A6 j  ]8 R
管線式類比數位轉換器功率最佳化模型
& O* V4 b$ x# K# d8 o: ]Power Optimization Model for Pipelined Analog-to-Digital Converter
8 s1 x$ o7 v5 @0 P# d
陳以勛2 v4 R$ w4 ?* S' X
晶片系統, l; R! k0 D) r2 S& C
超低電壓電路分析與設計5 h" s' m5 ?1 T! [6 J! O
Analysis and Design of Ultra Low VDD Circuit
' r! ]: G+ T6 `
趙廷昇.張仲宇.羅有龍- w3 x0 |! ~; F+ q! ~' u& H5 R
通訊系統
* Q8 O2 \& H3 ^: ?* ~
高效能通訊系統之位元錯誤率測試策略
$ ?) n; l8 L/ h5 }5 }BER Test Strategies for High Performance Communication Systems
, I5 A7 n8 Z, h! C
李瑜.鄭乃禎.陳繼展
+ S9 ]! ^) @; Z5 L8 z
通訊系統
3 N  [) m% j8 h
異質網路間的無縫式多媒體傳輸
- H) i3 R! G0 G& aSeamless Multimedia Communication Between WiMAX/Wi-Fi Heterogeneous Network
* n( v; F0 O4 X
黃永順.程永華.蔡宗霖
! B8 w6 J; Z  Y' Y3 p5 D
8#
發表於 2008-9-15 18:24:35 | 只看該作者
008
通訊系統/市場分析
4 F$ ?7 |: o  k' ]& n  A# q
數位行動電視市場分析8 H3 z7 z5 \, G. F3 }' [9 o. M
Market Analysis of Digital Mobile Television

: j1 g7 @0 h4 K
李桂華4 m( Y% h+ [1 T
晶片系統/晶片系統( G, p/ ^4 H! H8 N$ N$ `" |
工研院PAC計畫-由「超長指令數位訊號處理器」至「多核心計算平台」
1 Y, ]/ D3 {! Z8 cOverview of ITRI PAC Project – from VLIW DSP Processor to Multicore Computing Platform. _* g5 }% m" l# R) w
謝天威.林泰吉.劉俊男曾紹崟.紀坤明.朱元華
! C' e, {5 |2 \7 e' ]' P' L+ x
通訊系統/晶片系統* n/ L6 D+ t6 M' f6 D6 P
在雙核心平台上以畫面為單元的可調式電壓頻率的H.264解壓器
2 P/ d, T( W' g) c# X* ^Frame-based dynamic voltage and frequency scaling for a H.264 decoder on PAC Platform1 P- k6 `1 G2 s& R
曾紹崟.張明偉4 d) R1 @& I! c7 a
通訊系統
7 l1 N  _2 g3 }9 S
PAC Duo SoC系統網路頻寬分析
( M. [' N( k* b  L+ a/ @; ?System Interconnect Bandwidth Analysis of PAC Duo SoC5 r! F* }& a- Z
紀坤明.李國丞.黃保瑞林周坤
+ m, R; m" l( ?( ^7 k2 F, ~
4 F1 Y+ x8 g9 z8 \1 u3 ~: N
通訊系統/晶片系統% I0 Q, w' y2 ?. T: F
IEEE 802.11n基頻訊號處理技術
& ?8 [; [  a1 W; ^; S" [IEEE 802.11n baseband signal processing techniques& p' l9 v3 P& e7 Y. X
陳治宇.石韻宜.王志凱丁邦安  k+ E/ l  W: c
通訊系統/晶片系統; ?. x/ o5 a3 |  w2 g* k
應用於WiMAX接收器球型解碼之基於CORDIC架構QR分解電路8 l+ a8 v' c9 t' X+ H
CORDIC-based QRD for the Sphere Decoder in an MIMO WiMAX Receiver
9 Y. E9 c* `* c) T5 O, r
陳楨明.陳逢期7 n" v+ D& |: |* ?
通訊系統6 U0 h5 A; ]! i7 g: L! R% K* D. }
利用QoS參數之分類排序以建立WiMAX省電類別之方法
6 n% S2 s. C0 K2 RA Sorting Method for Power Saving Class Creation Considering QoS Parameters in WiMAX* c2 Y: @" l1 Y7 B. _
康 諾.顏鴻傑.邱玉敏
. r) s' C0 B+ U0 J9 r# F  N5 p
通訊系統/晶片系統2 a% V% e0 `) y- E% o
射頻直轉式架構I/Q升頻調變器載波洩漏校正電路介紹( f/ R6 n5 v% S- C) Q, [
An Introduction for RF Direct-Conversion I/Q Modulator Carrier Leakage Calibration Method) Q' n! D% ~" Y- h. ]5 D" q
許漢州6 |6 _, I) C9 O( @/ o6 }: ]' U
通訊系統/晶片系統) V8 w% x8 [: V5 M3 t
應用於超寬頻數位類比轉換器
, D, ?& q1 _: }0 L0 t3 ?1 q* WA 1V 6-Bit 2GS/s Current-Steering D/A Converter for MB-OFDM UWB Transceivers/ G% b! }( `" O* j
林書民.謝青玹* T. z5 s* M' d6 P
晶片系統$ {9 c9 a7 ?% Z9 s) `9 Q1 W* l
應用於超寬頻接收器的低功率,6位元, 1.2-GS/s之雙通道快閃式類比數位轉換器
1 y8 V# \, V$ X& ~5 {A Low-Power, 6-Bit, 1.2-GS/s Dual Channel Flash ADC for UWB Receivers 5 g& |( C, j, l( z' i. u4 m
陳博瑋.鮮思康
# J+ ]$ U8 K8 {' u! U
晶片系統
; O& `! a* {- ]7 h# j2 W
超低電壓300MHz 8X8管線式乘法器之設計/ R7 l( \1 X+ y/ z' g) ~% J* l
300MHz 8X8 Pipelined Multiplier Design with Ultra-Low Voltage
; ~. @/ U2 b1 v+ m7 Z' w" s: F! U5 p
梁詠智.黃清吉6 U+ g1 Y: P6 m
晶片系統
7 I( J/ _" S$ X- l# N
具有寬頻操作與高解析度之內建式抖動量測試技術
4 i) T& Y) Z& A/ hA Wide Range and High Resolution BIST Technique for Jitter Measurement 8 F0 f% {  @+ s. ^' O& v
李 瑜.鄭乃禎.陳繼展5 l: L# N1 y2 D' k. p' w5 l
晶片系統
2 C* U% L: h8 ?! d2 I# d
考量雜訊電源供應網路設計之快速佈局規劃
6 `3 l1 f) S8 a& lNoise-Aware Floorplanning for Fast Power Supply Network Design0 o7 O7 \8 w3 T7 Q; G/ R
林昌賜0 z( B" O! u0 ~! |1 K- y
9#
發表於 2008-10-20 14:29:54 | 只看該作者

新竹科學園區管理局補助SoC設計課程

新竹科學園區管理局為協助半導體(SoC設計)從業,或研究人員提昇人力素質及專業技術能力。特補助經費委託交通大學電子系人才培訓中心,於2008年第4季推出師資優良、學費最划算訓練課程。
3 L* d# Y" E% h$ K' a6 i
/ |" R/ b) R) w( V9 J0 S本梯次自2008年10月25日起,陸續推出「積體電路之靜電放電防護設計」、「OFDM基頻傳收機之設計(WiMAX基頻)」、「Overview of SRAM Design in Nanoscale CMOS」、「多頻帶射頻前端電路之設計」、「嵌入式記憶體電路設計與高良率實務」、「WiMAX系統設計(含MAC設計)」等課程。4 W! \; @, [  p$ u$ `' \) v& j& R' V

/ c( F$ N1 O6 j1 f* l每堂皆是關鍵技術課程,舉凡靜電放電防護,以至最新WiMAX技術,都值得來深入探討。師資包含交通大學資深教授,及擁有業界多年資深工作經驗者,詳情洽交通大學電子系人才培訓中心。
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-30 11:50 AM , Processed in 0.147008 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表