Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3197|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:
. _8 t; ~) q* i我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!5 Q  v/ T( Y, F( {" o

2 b0 E7 X; e2 Z! H9 h* g, X6 OLIBRARY ieee;
8 R& O, ?) X! wUSE ieee.std_logic_1164.all;
9 D" c1 G; V+ I/ z3 ]USE ieee.std_logic_arith.all;% p% `" l1 p# Q0 i

( O( {! ]3 d2 J5 @ENTITY memory_64 IS8 e, j1 n0 e' q* x
   PORT( 5 D+ b- |2 p% ?+ V" t5 _
      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );
# `, t2 a1 A% P1 N, o5 v, H% L      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );2 |. u$ O( U! z
      clr_l    : IN     std_logic;9 G5 B" M  t: a' _6 o  T
      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )0 ]* b2 j6 v$ n2 d7 ~
   );
8 @8 U" O: h8 C7 y" |
* F/ b9 X6 |" W- M-- Declarations
* t8 C9 g) s3 u0 Y( f8 N# q1 S! I8 o+ n9 L3 U5 g$ t& Z' q
END memory_64 ;
2 D6 K! ^6 M% F* g8 |% Z& b4 s
--( I. @1 Y# e% ]: F; i
ARCHITECTURE arch OF memory_64 IS" J3 T) j% `# V. X- I
-- column decoder
$ s7 g! K" D. e" Z3 |( Scomponent mem_coldec# m9 q/ w; [  g/ M3 \
   PORT(
' q6 P2 c" r7 n2 e      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );: T$ ]' n# z- h$ p0 q
      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
- K0 I8 u; _  B   );
4 m) d4 @9 H; M/ @# \end component;; z$ P; {0 k6 P2 G' v. C4 ~
-- row decoder
  R; F2 y: g: A# L4 w8 d8 Zcomponent mem_rowdec
- T' U5 o: H9 D   PORT(
3 O% Z6 z' l" d1 g0 }      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
+ C# ^: h8 L: ], a      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )/ q4 S+ u! g) [' u# e# Z
   );/ W3 t$ H4 X/ l/ J5 U# J2 Y
end component;   . f3 r0 i+ \% W2 P+ {# t7 C
-- latch array   
, }$ @: ^/ u4 I0 T  rcomponent latch_cell3 m. `* l4 Y$ s" @4 u
     PORT(
# A, G5 S; E8 G# T  [& h! @5 a        clr_l    : IN     std_logic;
4 W8 k! ^: q6 P5 w9 y& m        col_sel  : IN     std_logic;& P* D( E3 I1 r9 ?7 [
        row_sel  : IN     std_logic;        " E6 f( n3 w# Z# `* U0 h
        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );
9 E. q9 h) N' V! O0 j        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )  L- l) ?" _  E6 j
     );4 K; ]. M/ k& E: b" _
end component;   
7 d2 G1 y" q: s# b- J; P' {2 Q% \
& `! ]6 @: G5 K4 `( I, Jsignal smem_out : std_logic_vector ( 5 downto 0 );
. C. M& Y' p3 Z$ rsignal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );
8 v2 K) F* p/ z7 n; w' @" a* c' A  XBEGIN5 z- q% n. W- H8 Z8 M. Y0 F1 S+ L
  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);
2 v0 E* H" D: U' i) n6 b  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);1 h" w6 L. U5 j  \% J, _# b" P: n5 S5 n
  g0 : for i in 0 to 7 generate -- column generate
3 J7 K/ g/ n8 a% Y$ x    g1 : for j in 0 to 7 generate -- row generate% ~5 `# T( a4 M. \& P" U5 m( c
         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);
1 k2 |, U" c2 |/ m    end generate;
  t. T( D" _! S6 G  end generate;
( V, t1 @* ]: R# D# f; N9 CEND ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2008-3-7 12:30:16 | 顯示全部樓層
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
3#
 樓主| 發表於 2008-3-12 23:02:03 | 顯示全部樓層

記憶體問題

我只是試著把FPGA規劃成一顆RAM5 B% o  ]8 r2 i! H
3 D! b9 [' ]) V- y; k
沒有什麼特別的啦^^...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-3 10:03 PM , Processed in 0.098006 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表