Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3189|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:
8 Q) `+ ?; O; U我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!$ ?5 p5 h4 z& b7 {5 I' e4 H& |

- q- B/ S3 Y: I  L- wLIBRARY ieee;2 B, B; E; C8 s7 `# o3 J! G
USE ieee.std_logic_1164.all;
4 ?- \- L. o. N/ Y8 n8 IUSE ieee.std_logic_arith.all;) k# b5 [& o2 S* ^- ]4 W

1 e% |5 y( A' k! T3 v7 QENTITY memory_64 IS
& `* w) b) m  \   PORT( " \  `# x2 l# F4 W* P% Y( a
      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );& C, [1 W4 h) O- s1 g2 D' |
      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );! Z0 d2 Q0 o3 O2 O5 y
      clr_l    : IN     std_logic;
1 T/ l$ c& G% w) A) O, q: K# ^      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )4 X3 E5 P/ C7 F4 I5 c
   );
) }5 C( I- G- j8 J; L7 i/ d
- y: H" ~" Y8 r9 q4 J# s-- Declarations4 J0 A" ^4 f' f9 m8 m; o

+ e/ q$ T$ Z$ |, GEND memory_64 ;; l" Q. }# B4 ?6 \+ Z
9 J% i- `9 m" x& |8 I( [
--
7 |; }7 s8 ]* r( ^7 S7 TARCHITECTURE arch OF memory_64 IS
! S5 d3 q9 u# y" h' J0 v4 j-- column decoder
" v0 ~5 t) m2 ~component mem_coldec) W, D4 O4 |0 B5 K5 O) Q
   PORT( : V- A/ o: H% e! N8 ^
      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );1 y- G) v6 V8 o# x* }' U
      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )+ O. Q! W: @- ~
   );/ b  Z- h: s/ |. |
end component;! L2 s: c# c% d
-- row decoder2 ~; [# x- A6 N! O1 b
component mem_rowdec
6 `2 h& w: B3 M, z3 R# A   PORT( " O- [( }+ e6 b, s  E! p, T$ q
      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
- i( O* {8 d( H+ a* x. L      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )# E1 \& c$ J4 e
   );2 Z" I) w4 K1 b. a6 F* G! z& x9 _
end component;   $ l8 t% S% g% M6 W; o0 _
-- latch array   
' x% R2 ]# Y$ S1 d3 b3 r9 l1 ^component latch_cell' x- h* `9 X+ E1 c, m) V
     PORT( 7 J1 \6 D" c# |0 K2 n6 y0 p
        clr_l    : IN     std_logic;
4 |7 f1 T- |3 D- F5 ^4 b$ O        col_sel  : IN     std_logic;
# d: r5 w9 [8 H4 c" k        row_sel  : IN     std_logic;        
2 c! H& X/ W8 U6 d        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );
+ C( w# A7 S6 b        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )4 N# w+ F3 u% \) S% z& K* L3 @
     );
  F% e# ~; Y2 D- E5 y* tend component;    8 Q7 `( |  M1 U3 v

" Y1 f6 x9 _% ?! o, |signal smem_out : std_logic_vector ( 5 downto 0 );" X6 K  e( ^. }
signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );
9 W2 X+ A' A( F6 j0 G. LBEGIN  [* A* m, q* M) i$ R; S
  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);
0 G2 w4 C6 f& T* g8 J) k* y  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);4 n3 ]0 i3 N. K
  g0 : for i in 0 to 7 generate -- column generate" I* ~+ w+ D, D8 _$ x
    g1 : for j in 0 to 7 generate -- row generate
; f. c! [. f+ F2 q1 J: P! |. A         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);/ Z; h  m* R) g8 d7 O( H& K
    end generate;. W# W  h% b3 G% A- E9 L" w
  end generate;8 e4 \& @+ h5 ]' D
END ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-7 11:27:36 | 只看該作者
您好0 b3 J' e+ c4 P$ B4 I3 V3 f6 L
不用將address分成row跟column
2 t' B7 g- J+ o' P7 h這樣只是將問題複雜化而已
0 Y4 e) K6 C% q5 r5 i你可以想成有64個6 bit的暫存器,來組成你要的ram
3 Z. c/ F; G0 l6 [: J
% e& J' [/ _7 v* V/ U用陣列寫法,code比較簡潔,建議使用
7 }" \! A9 o- R$ U以下有範例,請參考
& a. Y5 x4 A& z/ z5 ?: z# Dhttp://www.doulos.com/knowhow/vh ... s/simple_ram_model/
3#
 樓主| 發表於 2008-3-7 12:30:16 | 只看該作者
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
4#
發表於 2008-3-8 23:26:53 | 只看該作者
您好
% D% H) w4 p( o+ K5 g; i不曉得你為甚麼要捨近求遠% W+ J+ |: U1 F% b( r! m5 {- B
可以說說這麼做的原因嗎?1 t3 m" J* @8 s/ a5 S# a, e
還有這是要做甚麼樣子的應用
/ ~0 a+ w) v, C% Y3 Z謝謝
5#
 樓主| 發表於 2008-3-12 23:02:03 | 只看該作者

記憶體問題

我只是試著把FPGA規劃成一顆RAM
# g9 n, ^) O9 d0 m) [4 V' w; d& ~, l" K& H# t2 S9 l2 S3 n  j) {
沒有什麼特別的啦^^...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-20 07:36 PM , Processed in 0.108006 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表