Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4465|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led
7 _, ?" c6 |  _1 T; d2 A- G//==================================================//) {$ l/ I& ^9 Q$ S
`timescale 1 ns/1 ns6 a! R0 y% u4 m6 w
0 ]0 N: q  }8 A7 g) X3 o0 R4 |; Z. Y, V/ E
  module  test_001(' Q" q6 b4 h: L8 H
                   D,; b, e: Y0 x8 t9 ~% D
                   Q,
) S! q. \1 t* y+ @( r7 K- Q                   clk,5 @& f$ `, u4 j6 P7 b- H. \7 `0 p$ ^8 s
                   reset,0 L7 T3 y& M/ H7 e& y9 a
                   QB
% P1 r- g6 O) O/ Q! B% P/ }                   );
. ]" o8 D+ }/ {5 n# w! C7 finput   reset, clk;4 R# K, K* E' G' w6 R
input   [3:0] D;9 ~5 N* o5 G2 x- l5 t# [1 O
output  [7:0] Q;
& v! D5 L& g% E: l/ Joutput  [7:0] QB;
! I# L4 s% Q  cwire    [7:0] Q;
$ C  z; j" h# Q  K  H) }+ lwire    [7:0] QB;
3 F( l* `7 i5 j4 {& Oreg     [7:0] X;
5 t& u# G8 T1 y" r4 yreg     [7:0] a;; v$ v+ v( U2 d# `
+ g$ `& h  i' R9 w% e4 g
7 [5 l" ]" L+ A0 d
1 w: r* D2 X' x+ `: `( f6 o

( e$ c- |! z# ?' zalways@(D)
5 C; i  M9 r  \" E/ w  begin
! ^* a- {3 Y7 r1 }0 `* `         case(D)
2 Z1 G5 \/ {3 @# d) N8 t0 g% `. l             4'b0000   :  X = 8'b0000_0000;
7 c" [, v$ V1 r/ F- n             4'b0001   :  X = 8'b0000_0011;3 Y; h- T5 b  m
             4'b0010   :  X = 8'b0000_1100;
6 I, k% w* q$ `5 A7 J, X             4'b0100   :  X = 8'b0011_0000;
6 B7 ~4 ]  A) [9 D9 z9 {0 n             4'b1000   :  X = 8'b1100_0000;" B: L* W8 m+ ]( o  m
             default   :  X = 8'b1100_0011;1 Y4 k1 E: [8 U. @2 a
         endcase    ; f4 a0 }/ N! O) N$ D2 U) @! S
  end             % C2 {- U2 h& `0 B9 m
  ; B1 q! O+ J( Q
assign  Q =   a;
" l' X- L- Z  v4 K. R2 Qassign  QB = ~a;: W( Y: j/ x, w
            
5 u* Z) f/ @" {- Oalways@(posedge clk or negedge reset)
" \  U  {: l$ j% B3 f+ w! m# x) x. ?  begin
" F' z) W# n4 l$ Z! u- s     if(!reset)% X' O# E8 I& [3 v  [
          a = #1 1'b0;
0 ~1 {8 _6 u# J7 o9 B6 j( T, y     else2 V3 z, w9 M3 r0 Y0 V' O! t: y9 p
          a = #1 X;
# r5 `! k, J5 i. D3 s  end                                  + N7 d: I/ ?) E5 M, T: T( _
   ' ?' t# y5 ~/ X. |+ ?, R2 P
  endmodule
# d' a' F! d' d4 R) }5 M) p//===========================================================//; }/ s. Y6 t+ t9 k+ J7 j
然後以下是Quartus產生的qsf檔。
3 d/ L+ F  p  p$ K  `//===========================================================//
5 c# o- s6 b0 k: _7 F+ P# Copyright (C) 1991-2006 Altera Corporation
) l' f5 Q  c8 ^) J# Your use of Altera Corporation's design tools, logic functions
# i5 e: n3 L+ |, x0 M$ `# and other software and tools, and its AMPP partner logic
0 @# R7 o2 N2 w& |/ s9 l% f& }4 \# functions, and any output files any of the foregoing   F1 O* K$ v1 z* G
# (including device programming or simulation files), and any
6 c( g+ f! p% y! q- q! V0 f0 }6 @# associated documentation or information are expressly subject
! T' A' V9 J% \- A; L3 ]# to the terms and conditions of the Altera Program License 0 L5 E2 @1 r" Z4 _1 f
# Subscription Agreement, Altera MegaCore Function License
( V1 Y1 q2 g; M! A! O$ n% ~, f# Agreement, or other applicable license agreement, including, / f  H4 j9 o1 S, d( r& V
# without limitation, that your use is for the sole purpose of
+ H# s# Z3 S2 L: F5 e# programming logic devices manufactured by Altera and sold by
, t# C, D; ]! g# Altera or its authorized distributors.  Please refer to the 5 c5 Y0 V; v$ U
# applicable agreement for further details.
5 w1 ~" M% h9 L1 D; y& D4 ^* E( r6 m$ @
- u" B7 h) o" Q% W5 I% g
# The default values for assignments are stored in the file
' ~# {9 J; e& `8 R- ^#                test_001_assignment_defaults.qdf
* G" i' G, f) U: E# V6 i# If this file doesn't exist, and for assignments not listed, see file& i; d# i: q* e+ `
#                assignment_defaults.qdf/ J7 Y9 }$ `* e+ i" _- b( c  ]

) A. S# D1 |$ L" F* I9 C; P# `# Altera recommends that you do not modify this file. This; H8 s' |# R; f  V
# file is updated automatically by the Quartus II software( G6 M3 \$ V0 r9 O
# and any changes you make may be lost or overwritten.
& b: w: J/ K: V5 b1 z6 U" J# |- X7 U, e' X
6 l6 J% N" P& W' l( I$ R, p& h
set_global_assignment -name FAMILY "Cyclone II"
$ Q& X7 G. x8 e- U; dset_global_assignment -name DEVICE EP2C35F672C6: Y- J/ ]0 U) Y. i# z$ V& ^
set_global_assignment -name TOP_LEVEL_ENTITY test_001
- \2 o4 \2 m  Y" G1 g1 R, Y% K, i9 ]" Wset_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.04 @( E' S1 b" |- A9 U* d) s
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"
- [- P. d. ?/ Q, p) Tset_global_assignment -name LAST_QUARTUS_VERSION 6.0" h0 N# B5 j3 F% D& {8 O) t) u
set_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"- E( B+ ]- P2 u  K* K! d" X+ j: w
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
5 w2 d. g' X- H) zset_global_assignment -name VERILOG_FILE old_test_001.v
5 c* T/ ~. n+ V/ f- f! r- Hset_location_assignment PIN_Y11 -to D[0]" E+ Y5 m7 K+ A, h; N
set_location_assignment PIN_AA10 -to D[1]9 u9 I/ d4 n  [, ^# n
set_location_assignment PIN_AB10 -to D[2]  B4 ^7 b( q( R3 w8 l
set_location_assignment PIN_AE6 -to D[3]
- l) `" d7 ]+ ^/ Z& uset_location_assignment PIN_AC10 -to Q[0]. R% E& O: Y) _% [
set_location_assignment PIN_W11 -to Q[1]
5 z+ i" t- _  y* O4 V3 v8 Eset_location_assignment PIN_W12 -to Q[2]' G5 }3 M5 t8 _4 u" T' i- g
set_location_assignment PIN_AE8 -to Q[3]
) T4 {+ a8 O$ k) q' i9 lset_location_assignment PIN_AF8 -to Q[4]6 F5 @: o! c- R& z
set_location_assignment PIN_AE7 -to Q[5]
$ W  p- G8 v2 t: F8 D5 Lset_location_assignment PIN_AF7 -to Q[6]& `4 j. N: w4 C3 Z8 r% s
set_location_assignment PIN_AA11 -to Q[7]7 Q5 r5 v9 w- F2 f' Y" u
set_global_assignment -name SIGNALTAP_FILE stp1.stp
/ B0 V7 t+ f5 C  a% qset_global_assignment -name ENABLE_SIGNALTAP ON- [- z8 F% E, p* G% `
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
0 M% Z" x0 a- V5 |/ Aset_location_assignment PIN_M21 -to reset- Q" K4 i# @! i! W- _% t7 T+ E
set_location_assignment PIN_P25 -to clk5 {/ S: q! T2 `- j
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"0 S2 O" c* A) V$ n. Z
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis& e( {$ m( |- p. D; _0 ^
set_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis: t. `) I" M" R. [. v7 e
set_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis5 S* o% e7 R% g6 h( Q3 L7 k
//=================================================================================================//
& l3 V4 D; r7 I我的問題是,不知道為何怎麼樣都燒不進kit裡,& b0 V* d/ y/ [  O9 b
已經排除並非JTAG跟KIT的問題!' a" @: b. D' }6 s/ M
請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者
1 W# q( \. p1 k% j3 r8 Z, q
只有WARNING7 d$ N9 f( k6 b7 ~
沒有ERROR
! U+ ~2 O! t! G% o/ ]) Y這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??! L, Y! {; Z# J+ j
不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者

/ \  O" v$ j! c) ]# ~5 M% A5 u, y7 o& m+ x
這是program的畫面2 R1 i- K/ ]1 O1 A7 a9 v( X
  |9 o# o0 x' k. O. Q* J
+ b* I& k) G5 C
8 b" W) J' t+ I  T! v
這是assignment pin的畫面8 N. _$ F1 w; C/ S# @4 H
3 i: F3 X( U2 q: Y

# l/ L. i7 W8 j+ C2 ~
2 |2 k. @* B+ ^- n( \8 v這是燒錄下載到kit的畫面. N9 {; C4 J6 P/ N3 o* }

; s; s4 G& O, C####################################################: w" F9 w3 y' j3 M5 T, Z
到這都很順利,
' \8 q$ z: V- f5 |但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?; W6 w  F/ Y) w( {; d1 R
導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧
5 W: U2 g' o$ v( C
1 P3 d0 l4 y* j# N! n看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!1 ~% r. x" q) Z5 ~; J  |
# M2 F$ C* b4 B. M* M

# m) j+ f# |3 U" V  m4 E) k! ?# l3 h6 e; Q, {9 u
3 K3 }( g+ D5 B6 g3 S

8 k$ j0 {$ K3 I6 Z9 W# e8 i1 L
4 ~, E% h0 j+ c' }3 a$ p8 g1 e- ]  {" R" B

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟& @$ g1 C7 d, U$ g# i$ j5 }
上面signaltap2跟in-system memory content editor不要亂開,
2 L3 Y2 W9 z  r6 Z特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面) J7 X! _9 O8 `6 J/ e8 R9 c
發展板的manual要K完, 有沒特別的jumper要設mode?: @: k6 t# ^$ Q. W5 @% m* V
另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,
2 d1 _6 G) W( r0 X0 M1 @. G那就是你的設計的問題,4 t( z& d1 Q1 R8 [( U3 N
這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者

- o" @4 D! a, ^# T感謝各位的意見~
7 i" C& ~* Z  F同樣的描述~# |3 _1 i# ]. G1 p( C, g
我放到altera另外一塊kit→EP2C20F484C8(茂倫)
: F+ Q* X1 c! e2 V# ?) s+ ]所得出的結果就是我要的~. ]* E$ i& u6 d' o2 j
差別只在PIN的ASSIGN/ ^9 R+ Z9 N8 I0 b
這樣子可能會認為KIT有問題~
) V: k: s: i* I所以~
. w/ z5 h& k  c8 z我又重新寫了一個- i  P' k4 D. G9 H
放到altera EP2C35F672C6這塊KIT~
8 U4 E" e7 J0 ]- a
0 ~( l2 s& x9 O. l  k8 P居然可以動作了~5 L5 X9 b! ?: l: B6 ~) [: T
以下就是這段硬體的VERILOG HDL
2 P& R, |4 L2 T; t4 L`timescale 1 ns/1 ns
. p' y# R# V0 G" s8 emodule chip_top (# n! S. b. J- ^  }: e* i8 x
                  clk,
7 @# d+ v3 D+ J& t( S                  rst_b,
8 c5 G$ e0 w* U" ^/ ^7 N5 z/ q                  cnt,* z5 e" e* A" c7 z2 e
                  seg,  
" |+ V# O$ v# u: a                  a,% ~5 v$ g' M& f- Q1 g4 J
                  sel,
3 S* R' P+ O* M, y! q                  seg_u9,    0 W8 |" J- w3 @- q3 v, k
                  rst,( B+ m* I5 O) v6 w! K
                  clock,8 x: \+ J2 T# t$ O8 p
                 );% Q. K1 k" v$ r0 }2 d2 d" o$ `
  
% ~* e& W+ |. C3 q  _* e   
5 f4 h1 B4 A+ Y* ^) G  g  input  clk;6 J1 l7 Z; x* {# A$ ~4 W# b- y
  input  rst_b;3 a5 ], ?* y$ T. w
  input  a, sel, rst, clock;
- ~1 j/ R/ H7 f8 w9 D) D, }) `  output [7:0] cnt;
* A! f  i% Z+ N1 G! B9 C, K  output [7:0] seg;
( [. @( ~2 y2 g* J' g# W  output [7:0] seg_u9;, m; G; a( v  J% a+ S. w
  reg    [7:0] cnt;
4 C6 d! v. k! X# C  reg    [7:0] seg;) W$ h8 e3 s8 @& ?
  reg    [7:0] seg_u9;
0 O6 K, m" |& ]1 e( h7 \  reg    [40:0] clk_cnt;
& ~* l' h! A4 S( D% e" }  reg    [40:0] clk_seg;
# r( X2 B: E. l6 M  reg    [40:0] clk_seg_u9;% @+ \- @/ q! K0 q3 X
    wire   clk_cnt_end = clk_cnt[20];
3 b$ n' o4 Z" U5 |0 u& ~) a* Q   wire   clk_seg_end = clk_seg[20];" ]! X0 k+ K1 x( }+ ^$ ^
  wire   clk_seg_u9_end = clk_seg_u9[20];
6 [7 j- O& C' e7 g9 i- L& k; A  w- C  , ?3 L9 n; Q* l; y! t
  : z/ x4 y, O. u4 W' Z) Z
//---------------------------------------------------------------: u; K/ \7 o3 w; r$ M
  always @(posedge clk or negedge rst_b)
& a- z0 x7 e6 F5 G7 o$ }    if (!rst_b) . S7 G, ^4 r) N3 v; p0 F
              clk_cnt <= 0;( o4 |* _# T) f, V* g
    else  
: X3 [8 k9 G4 V' }# ^" Y             clk_cnt <= clk_cnt + 1;
" _1 l: _" C$ a8 l" b4 h$ u# |) o/ q4 Z+ k* ?& a/ \9 V- I
  always @(posedge clk_cnt_end or negedge rst_b)
6 a: x+ D& F, H4 y" M4 t    if (!rst_b) . L  Q: U9 Z4 o  {
              cnt <= 0;
) j$ V' x& y2 f  U    else ' B, `: {# \% q' T7 X+ d
              cnt <= cnt + 1;
3 J3 h' p  g! H+ F: Y6 a0 [0 u7 v. S$ T4 s3 M7 W& u
//---------------------------------------------------------------  K3 N. A5 E/ h( @& x
% ?" \" b& W- X! q! S( Q
% G+ V) t" o0 ~5 ~) t
// always @(posedge clk or negedge rst_b)
$ {7 X# v) d7 {: a! K2 ^# e  x//   if (!rst_b)* z) e% M/ _8 w
//               clk_seg <= 0;
( |/ ]# A6 w6 D//   else 1 w- m; k- `- P( i' v/ a
//               clk_seg <= clk_seg + 1;5 ~4 R8 R2 m$ i1 F& o* n
, A; _: L$ S/ n
// always @(posedge clk_seg_end or negedge rst_b)
$ o) ^8 V$ q- o6 `. o1 f//   if (!rst_b)
- H7 \- l2 q, N0 [; b5 d//              seg <= 0;
* M0 u+ g: H% g! |//   else( m# ]2 n" k& Q* [9 H2 h+ a( j
//              seg <= seg + 1;
6 N, j, h/ I# {5 ?4 S' ]//---------------------------------------------------------------     
  I) z$ {: ^8 G+ b( q( J" Y  c//===============================================================           , _: N$ P1 j3 J+ A; J
//  always @(posedge clk or negedge rst_b)( s' i2 t4 f5 [: m7 k
//    if (!rst_b)
" \: L+ }+ Z- }) @4 w//                clk_seg_u9 <= 0;
- n* s3 O% f  k  M/ q( P- i//    else
7 M3 y) G4 x/ d3 ]/ {1 `# y- ]2 T5 i//                clk_seg_u9 <= clk_seg_u9 + 1;* R$ _# S3 z2 n0 c. R
6 |, c7 b5 u: m7 g8 f
//  always @(posedge clk_seg_u9_end or negedge rst_b)+ t1 n' i, [1 ]. J' Q! F+ o. J* r9 X3 }
//    if (!rst_b)
* s. |9 g0 P9 k9 j5 u8 H7 j//                seg_u9 <= 0;
: q9 X: r  M! _1 K//    else
3 A0 }3 |2 g* H6 b2 Z1 n//                seg_u9 <= seg_u9 + 1;             " q' A: W# r" n5 N& I
//===============================================================     
; T* I! {9 G+ ]( q& N% s/ A    always@(sel or a)( n$ |2 L: i6 `4 E" @
   begin) I) h% H/ d' a
          if  (sel == 1'b1)" t# W1 \: Z6 o2 i6 B9 d
               seg_u9 = 8'b0111_1001;              
! O! q5 S3 u4 C8 ~8 L    end     
' Y$ n+ R7 C- A4 a9 z     always@(sel or a)+ x5 O3 S! I' _0 N- b
   begin
! R2 l/ h4 u2 K3 D- D  s          if  (sel == 1'b1)
6 g3 I# v1 ?4 R; B5 k; l) p              seg = 8'b0010_0100;              4 ?8 B- \) @; i8 `9 ^1 T8 T# s
    end  
% C  f$ J1 w, G/ w- Y1 u//===============================================================
% S3 J  |) a% s) a* @; ^. o      
: L. @6 p/ \" e! P0 B9 w" Oendmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-17 04:51 AM , Processed in 0.119515 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表