Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3773|回復: 1
打印 上一主題 下一主題

M0 M3 M4 Soft IP Cores For Sale

[複製鏈接]
跳轉到指定樓層
1#
發表於 2014-3-7 10:38:47 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
├─AT420-DA-03001-r2p0-00rel0) Y: C. W7 P3 e, V1 {
│  └─docs- |) |) e) l+ ]& ^' g( p: C! F
│          DDI0337G_cortex_m3_r2p0_trm.pdf
" }; v- Z$ K( `5 V) T │         
& }8 z3 }1 K0 p ├─AT420-DC-02008-r2p0-00rel0
/ a+ Q+ T3 F$ b( i │  └─docs8 w) F7 X6 p' n2 B, |
│          DII0194A_cortex_m3_r2p0_csg.pdf  Q/ i4 |6 K# G. m; H+ r
│         
7 y. h1 n; I6 G+ e ├─AT420-DC-13001-r2p0-00rel0
- |$ ~2 z3 P% |; W  r/ t6 J% `0 [ │  └─docs
$ Y8 z4 g  V- }$ {' x
- @% S! i, B1 L9 `4 R │  │  │          CM3CodeMux.v# ]: e( i6 \& }9 D* Z3 R) [
│  │  │          CM3flashmux.v
2 A  w( l) i, X │  │  │          CM3ROMTable.v
' o2 b! ~4 K1 S, `; L- R8 a │  │  │          CortexM3Integration.v
$ s9 w1 g$ a" O1 Y, G1 [0 m │  │  │          9 U- J) o& w$ `- U. h. \5 P
│  │  ├─dapswjdp, g. Q6 v/ U7 A5 M$ z$ B
│  │  │  │  README_DAPSWJDP
2 M/ x' C/ B1 R) u │  │  │  │  
8 T9 u" |" E4 I# [  _, f │  │  │  └─verilog
$ V5 p& r; H; @' y# T │  │  │          DAPDpApbDefs.v: k# l% u) I! Q  M% |
│  │  │          DAPDpApbIfClamp.v) B- X( ?. M  _' W
│  │  │          DAPDpApbSync.v
. w' g% r, R& V8 { │  │  │          DAPDpClamp0.v
% C8 x$ z, Z% @# c6 m5 B5 ^' P │  │  │          DAPDpEnSync.v
: A( ?4 p' ]1 d4 q% s │  │  │          DAPDpIMux.v) y5 L5 X& I, ^4 E+ ^
│  │  │          DAPDpSync.v
8 Q. |! F! d. q+ A5 V" l! f, K │  │  │          DAPJtagDpDefs.v3 V8 ?2 c5 M0 b! Y4 V% a4 T+ Z% X. R
│  │  │          DAPJtagDpProtocol.v
1 U, z: Q0 T; a3 B2 p7 w │  │  │          DAPSwDpApbIf.v
' S9 c2 _% ^( j1 q! p │  │  │          DAPSwDpDefs.v  d  C+ C% g/ W$ z) C. n" k
│  │  │          DAPSwDpProtocol.v% ?3 f1 H, W( J6 r, s" [& N
│  │  │          DAPSwDpSync.v
* R- r  i$ o7 T0 H( V# b │  │  │          DAPSWJDP.v
2 K9 P0 ~' C  N" u/ {& S! B │  │  │          DAPSwjDpDefs.v
' k: j* R4 J! U4 t4 F4 f6 @- ]- D │  │  │          DAPSwjWatcher.v, z9 N( ~6 m( S) h
│  │  │          + G( Q: `# O, n- R* j+ O
│  │  ├─models
3 O/ y+ T( ]7 R8 R: c% a/ @ │  │  │  └─cells; d( K5 M7 U* y( T7 f) I9 Z5 T
│  │  │          CM3ClkGate.v
7 O( Z$ P$ r2 V/ O' ^ │  │  │          CM3EtmClkGate.v
$ K! j0 E4 |& b& K8 `" J. c │  │  │          CM3Sync.v5 \6 H9 ^8 M2 b4 M0 Y  T) A) P
│  │  │          # Q9 Z8 [: W; x
│  │  └─tbench
) R' P6 U+ S# q( o5 x │  │      └─verilog
" D8 l' k5 i. G( w6 ^. O4 G0 x │  │              AhbToApb.v( H+ A5 J1 ?/ {! t, B, j) W
│  │              AtbLogger.v
2 q9 u; J# d1 N! i" G0 T0 V1 z6 B │  │              BusMatrix.v* z( z; ?; G# e. X9 b" B
│  │              ClkReset.v0 M, l+ M; X. _$ _
│  │              CM3BusComparator.v
9 m5 C" t: T* c0 N; h' ], k! |1 Y1 ]# x! l
9 m) X) t- O1 D
│      │  │  exclude_list.sc_waitstate
- n- }% Z0 l/ T8 m& c; [3 A3 `/ j' S7 t: K
             │  └─fe_tsmc090g_sc-adv_v10_2007q4v2
2 @( K( H" T# G             │      │  scadv_tsmc_cln90g_rvt_ff_1p1v_m40c.cdB
* ]! r  U: H1 Y7 o; S) C             │      │  scadv_tsmc_cln90g_rvt_ss_0p9v_125c.cdB5 R$ k$ l5 b( F  ~
             │      │  scadv_tsmc_cln90g_rvt_tt_1p0v_25c.cdB: U# V2 S+ L$ r1 P4 N7 t( J# ^9 i3 p: W
             │      │    ^1 g# i2 b: Z
             │      └─scadv_tsmc_cln90g_rvt_tt_1p0v_25c_dv.cl
" c! J& n3 P! W5 X             │              cells_1.geo
6 i8 l# \/ n* O0 _% `             │              cells_1.pwr3 a% `* z0 w* R9 o/ `
             │              index.cli, W: I) g; ^( P- k7 h
             │              README.TXT2 e% _7 G* K" E! q9 \3 P' E
             │              rulesets
4 w" f" u5 P8 D2 @; c) _             │              VERSION.TXT
% `! `+ e9 ]& ]5 p             │              vias_1.geo! S( P  X9 `' M! J- J6 u6 Z
             │              1 p; l# [5 I; m+ x" W- z
             └─tsmc
+ k( k0 ]" Q) q- _' g8 y" a0 M- L                 └─t-n90-lo-sp-002-f1_1_6a_20060914
) E2 _, A( i! b* P% s& m                     └─6X2Z
- z/ Q$ D& \& _! q0 J! s                             corner.defs3 }/ _1 c4 Y3 ^% Q, Z8 L

  @0 a" o& {/ [; ?; e# `5 e* Y, Q├─integration_kit7 _3 I$ Z8 Q: k" [6 e
│  ├─logical
$ Y, v) G5 A& K8 p8 z3 X; T) @ │  │  ├─cm0ikmcu
* \3 w" M* t9 d% _5 R │  │  │  └─verilog
' E0 A! I4 E6 [ │  │  └─tbench5 t$ j& U6 E# u. P7 ]4 ~8 c+ |
│  │      └─verilog! G3 D2 g. v. @9 _  V# H* K
│  └─validation# O' n) Q; |% H/ A7 n0 X) s
│      ├─glogs! s5 P" c) I1 m4 {
│      ├─logs: h2 w/ }) x4 w: t8 w" n5 O: L
│      ├─mdk
  i) j7 r1 p2 m │      ├─srpg; v4 [5 ?8 C3 @; ^" y& ]
│      ├─tests
4 l* p6 W7 P% M1 o │      │  └─CMSIS! |7 O1 @3 T/ _. X
│      │      └─Core! a9 D- U' }. L2 n
│      │          ├─CM0
7 T- \4 s! U4 Q, {# U( t* k% o- C │      │          └─Documentation
1 k5 s) ~' g7 F! f │      └─vectors
6 v, I8 L) v* |2 ]' ^- D ├─ipxact
7 d  ]0 I4 ?5 `2 ]( Q; Z │  ├─busdefs
5 U# S; o1 w, F0 R' a │  │  ├─amba.com
: z; C* W4 d( ?( {6 {7 I │  │  │  └─AMBA3
' Y4 _( h* W6 a, B) w) g, \5 ~ │  │  └─arm.com# J9 f9 }3 \) E# S
│  │      ├─CoreSight% l( m3 N: w$ ~  h7 N) D
│  │      ├─Cortex-M0
3 ?, g8 w+ M6 P. A- ^ │  │      └─CortexMCores* U% {( Y" T+ T$ f$ c
│  ├─channels
! }$ g& o0 E3 r- b8 T9 G, V │  │  └─arm.com' f) w3 i. C8 |+ y! ~5 @* l
│  │      └─Cortex-M0/ \8 I/ {/ U, v- D9 s2 E
│  │          └─rtl
3 n' t3 p  E% o# t7 b, D' Q │  └─components  `7 @7 o2 z* x
│      └─arm.com
- a& w+ g$ j5 Q6 Q9 a │          └─Cortex-M0
$ v  @8 z) U/ n" i! T' t/ A" Q6 B+ e4 k% a! A) T6 ~3 H
遊客,如果您要查看本帖隱藏內容請回復

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2014-3-7 10:40:48 | 顯示全部樓層
80982871@qq.com
! j/ c5 O# G% Z/ d" v  `80982871@qq.com
7 l% ?$ h/ K0 ]8 z% S4 Z80982871@qq.com
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-21 08:48 AM , Processed in 0.112014 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表