Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 6758|回復: 7
打印 上一主題 下一主題

brief for Cortex-M0/M3 Logical Validation Implementation

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2014-3-7 09:46:30 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
├─doc3 b  W( ^$ V+ L  g' e- O( `% P
│  ├─Cortex-M0_TechnicalReferenceManual_Frame% s+ @+ i" A$ ?3 h# r2 i& \& s
│  │  └─graphics1 H3 R; S; ?8 I4 I9 E
│  ├─Cortex-M0_UserGuideReferenceMaterial_Frame+ y* ?6 Y8 p' c- A9 T
│  │  └─graphics- b8 w2 v5 x- \! s: N& a
│  └─Cortex-M0_UserGuideReferenceMaterial_XML
% `0 u( `7 S# {: J3 g% g9 J│      └─graphics
. g: D( s; d9 q0 o5 M├─implementation
: f/ b. X. _: ~) U0 f7 a│  └─vectors! O, D. |% o3 \; [+ \, j
│      ├─CORTEXM0IMP
: M0 C# g/ S  }% m" z6 G6 j- Q│      │  ├─crf+ [8 A8 R  g; Y, N, W- M
│      │  ├─srpg
1 L* A( d+ H* a7 ~. D8 ^│      │  └─tbench
& h+ j# g6 G5 Z+ |2 \1 R+ v& z│      │      └─logs5 _9 W0 d" j9 h9 E2 R
│      ├─CORTEXM0INTEGRATIONIMP
* o/ }( ]- J$ `& H│      │  ├─crf8 N& U& |- `. I  |4 L5 Y
│      │  ├─srpg! |, E2 T6 e  ^; b6 s  V) U
│      │  └─tbench2 r  _+ x9 Y5 D2 [4 f; [! X3 W
│      │      └─logs
6 g0 D  j& [6 r' h│      └─tools) K' x# \$ A" e/ N
│          └─VerilogCrf
6 Y1 F& h% u* S8 f  Q├─integration_kit% W( A4 W) L& z. F( Y
│  ├─logical
& f' q& T9 ~/ g1 K" N│  │  ├─cm0ikmcu
& t0 g5 R" B# v9 G1 v│  │  │  └─verilog
4 ^* C5 G2 |8 n0 g' S9 l! U  f│  │  └─tbench
- I6 \6 l! S6 e; h/ |│  │      └─verilog" \; o# Q  H: D
│  └─validation2 M: V3 \% P* s0 u/ W
│      ├─glogs
  s# y, I$ H! n  f# f( P│      ├─logs
; [/ w9 ?# A6 M8 h( }7 R│      ├─mdk
/ Z+ F9 T$ r- ~6 U│      ├─srpg
, c- B1 j6 I3 O: u2 E6 p  p│      ├─tests
5 f7 |$ e& g. P3 l: q% L│      │  └─CMSIS
& L" v) U1 y0 n, p. i5 v( @  d/ B│      │      └─Core% |: ]1 q6 K% A5 C+ K5 Q* P
│      │          ├─CM05 V- H" n7 p0 ?3 x/ d: y- s2 B
│      │          └─Documentation
. [% ~6 I: H9 T& M* \7 B% z8 O$ B│      └─vectors
) `! D! X- \# z1 d$ h8 s6 _& R├─ipxact- G( G9 Q! |/ R6 H+ D/ o
│  ├─busdefs
/ }2 a# k( Q7 l7 T+ R. W│  │  ├─amba.com7 Z& w' l% \; @: N# m. v
│  │  │  └─AMBA3
2 o- ]) M, e0 T│  │  └─arm.com
- P$ `8 W9 \: C& _" I6 @0 J* L│  │      ├─CoreSight
* y5 s9 G, {6 B│  │      ├─Cortex-M0
/ Z+ v( q' C' Z/ k$ h│  │      └─CortexMCores- L$ j" P; J0 Z. B: P, N: v
│  ├─channels
; E" y& @8 c% ~3 m$ A* B7 r│  │  └─arm.com! y$ D0 p1 _$ y0 Z( i  Z
│  │      └─Cortex-M05 x) k" Z4 f8 Y8 c/ E
│  │          └─rtl
$ l4 B( n6 |% {- S5 t5 ?3 e│  └─components
4 T& F/ G: k2 |# g│      └─arm.com
/ Y; S0 l0 H( N0 [, l│          └─Cortex-M0/ }2 w6 o. ~- Y% T6 Q, E4 l6 V  K
└─logical$ K9 P6 @1 n) C2 b
    ├─cortexm0
+ a! |9 r- G8 M+ t    │  └─verilog
' t) u7 d5 R; K    ├─cortexm0_dap
) k! a  r, D( ?" w    │  └─verilog0 w! J: _+ U1 y( l1 z8 ~% \# y* h
    ├─cortexm0_integration
  K9 T! U# X4 U: w9 E    │  └─verilog
/ a5 o, d1 l8 P3 N' j    ├─models
" W+ Z1 _5 L( d, d+ |    │  ├─cells
1 o( P# I( y, y3 e& a    │  ├─cpf0 X* \' V; ?: r% `& @4 K7 c
    │  ├─upf  k  Y( @/ `( K+ P* K0 x5 a
    │  └─wrappers/ E5 j3 M% c' p7 ?2 c) v) K
    └─ualdis$ C& t* x8 [: R! Y$ \& w  j- Y* n
        └─verilog' V) \# o7 N, ?% k5 i
遊客,如果您要查看本帖隱藏內容請回復

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂3 踩 分享分享
2#
 樓主| 發表於 2014-3-7 09:47:01 | 只看該作者
├─AT420-DA-03001-r2p0-00rel0
; m) L  T) V% r+ G6 N9 F│  └─docs& s& T; I( E9 \4 c3 C% `0 y. D
│          DDI0337G_cortex_m3_r2p0_trm.pdf
! J1 T. Z1 u; s0 e) Q8 S│          % c) e2 k: H7 x6 s) k! G
├─AT420-DC-02008-r2p0-00rel0- \% P$ R1 G5 t- W2 j0 ?
│  └─docs# M0 a3 N$ J$ N  [8 n: y9 P8 w; E; k
│          DII0194A_cortex_m3_r2p0_csg.pdf: L1 ?: A0 s- A# M! B$ }! V4 T
│         
2 c' J" B& D/ @' \: F+ M$ H├─AT420-DC-13001-r2p0-00rel00 o5 h1 S3 m, V  G
│  └─docs
8 c% B1 w" K8 b8 _: n0 w
2 I! Z( r+ u& y/ {) ^8 n" \│  │  │          CM3CodeMux.v
. Y) l, ?4 r, _2 V( F9 J│  │  │          CM3flashmux.v
2 O1 i8 J) C  ~  M8 k│  │  │          CM3ROMTable.v
2 G% f# `4 j8 i' `│  │  │          CortexM3Integration.v
9 {2 b# X/ E5 n( b+ R1 G3 T5 y│  │  │          , ~) ?9 K% A% _" z
│  │  ├─dapswjdp3 C- P8 Z" M: O/ S  X2 L
│  │  │  │  README_DAPSWJDP
- B, v' T4 F9 ?/ ], H│  │  │  │  * c3 n" u& C% N2 {6 u, S4 i
│  │  │  └─verilog# F5 \# q" E# g# W, p% J( _& J6 B
│  │  │          DAPDpApbDefs.v1 }2 E  @2 |9 c9 G
│  │  │          DAPDpApbIfClamp.v' q1 |# u  _; ]' E3 s; }
│  │  │          DAPDpApbSync.v" Q: o5 N- Y0 `% D( e
│  │  │          DAPDpClamp0.v
; J8 P  G* ^+ r│  │  │          DAPDpEnSync.v6 p: L. ]9 U* }% h% E
│  │  │          DAPDpIMux.v9 K0 h  D& Y5 A5 ~; T
│  │  │          DAPDpSync.v; K: S6 B, a' g: _2 N' b
│  │  │          DAPJtagDpDefs.v# i3 L8 l* S- \8 n- [! h6 K# k
│  │  │          DAPJtagDpProtocol.v+ b& e6 K$ f0 _- ]5 L" j
│  │  │          DAPSwDpApbIf.v
5 |& [  s" a9 z% D& Q│  │  │          DAPSwDpDefs.v+ ^( C7 s2 j( }/ m: x4 W9 D" Y
│  │  │          DAPSwDpProtocol.v
" g0 H( [9 S2 u4 y8 Z- W│  │  │          DAPSwDpSync.v) q9 \0 E8 R! t$ N$ S4 F
│  │  │          DAPSWJDP.v
1 R1 F" @* O: G, m* w' ^│  │  │          DAPSwjDpDefs.v
5 h4 j2 Z6 e1 A& @# U│  │  │          DAPSwjWatcher.v* L# v: j& m+ |: U" ~) @5 e0 K
│  │  │         
: b5 b4 [& ]- d│  │  ├─models
. N2 x/ K/ f; Y$ m' q│  │  │  └─cells. f% y9 e7 C0 Y
│  │  │          CM3ClkGate.v
8 |/ u1 y! m$ o: f3 D│  │  │          CM3EtmClkGate.v) x- p7 Y/ Q( D: u. N% d- I. D5 G
│  │  │          CM3Sync.v
: A8 y9 S( O& q7 V% j' l2 S│  │  │         
; T8 q. {5 L6 Z│  │  └─tbench# x1 w0 l2 V4 C! t
│  │      └─verilog
$ E. T2 W( |% e│  │              AhbToApb.v
' }/ p( R/ @4 R0 p$ l: X│  │              AtbLogger.v/ Y  s6 [) _" b4 _5 ?/ v
│  │              BusMatrix.v
9 S% Z4 C: H1 [  e6 K* Y3 O│  │              ClkReset.v
+ m" g/ U5 i7 Q5 p│  │              CM3BusComparator.v
( h. e: ^* H, a4 O│  │              CM3BusGasket.v
# B( v. ^9 k6 w" w% [. I1 r$ u: h│  │              CM3TestExAcMnAhb.v
, s& ?# _, g5 s, E│  │              CM3ValAHBSplit.v
7 n/ p1 o( p+ w% J! b) e& W: G. c│  │              CM3ValApbTrickBox.v
+ l3 f9 K! V" ^; c6 g│  │              CM3ValBusCompareCtrlReg.v2 l: q4 W) ~/ V6 D$ j* J
│  │              CM3ValControl.v
3 f, H" P1 O" w2 t5 Q  g: C0 v│  │              CM3ValDebugCore.v( S) T5 ], a' q
│  │              CM3ValDualPortRAM.v
) U3 y  c" V; `5 B4 }" r& H│  │              CM3ValDualPortWrapper.v
+ ?) d1 s( A: l9 o& `/ H% B│  │              CM3ValETMTrickBox.v
' x8 y% s, U) a: T# D* L7 m2 E5 ^│  │              CM3ValHTMTrickBox.v: t9 `5 `8 T0 I; M# q
│  │              CM3ValIRQGenerator1.v, ^0 [1 m. ^1 [; U' b
│  │              CM3ValIRQGenerator2.v% u+ c2 \$ m( D0 f
│  │              CM3ValJtagTrickBox.v4 D# O4 m+ v! E/ W) w: t
│  │              CM3ValMemory.v
4 E0 U' ~0 E* m│  │              CM3ValPMU.v% B6 |# k8 p* ?0 S( W5 {
│  │              CM3ValRAM.v4 d' D, d& _, ?: t" W& f
│  │              CM3ValRAMWrapper.v/ H0 F8 V# M& x+ k$ Y. N! [
│  │              CM3ValScratchPad.v* o, X8 s8 A5 b2 J& ]
│  │              CM3ValSWCapture.v( ^: @/ z( H# D4 y
│  │              CM3ValTBDefs.v3 u# s* {6 b0 ]3 [
│  │              CM3ValTraceOutput.v( u6 ~( \0 u. t( L
│  │              CM3ValTraceSync.v
1 m$ p3 j: Q7 g% S8 Y& v- }% u- u' m% j* i
│      │  │  exclude_list.sc_waitstate
; Y+ M' R) \: Z9 k+ \) l0 n* u, B2 x" C. w+ Y, a
            │  └─fe_tsmc090g_sc-adv_v10_2007q4v2
9 M4 O; G5 _4 Y9 x. S3 V1 ?; F            │      │  scadv_tsmc_cln90g_rvt_ff_1p1v_m40c.cdB  z. ^2 k# \& M' s5 I! Q8 |$ R# [
            │      │  scadv_tsmc_cln90g_rvt_ss_0p9v_125c.cdB
6 @# p" C+ C" w0 e# ^            │      │  scadv_tsmc_cln90g_rvt_tt_1p0v_25c.cdB
, t  q. q1 c3 s6 S& a0 c% w            │      │  
3 `5 U! t; ?& I) q            │      └─scadv_tsmc_cln90g_rvt_tt_1p0v_25c_dv.cl- f$ S3 [( v. L& l$ u
            │              cells_1.geo
! d1 C2 ]! K. W# H5 H0 G6 q/ q            │              cells_1.pwr, h& S* C* s# g. H. a
            │              index.cli
7 S8 R+ W; _; ^0 d            │              README.TXT
" a- a! [7 E* S& {& l            │              rulesets
2 Y/ V( s  S* d            │              VERSION.TXT4 r4 @, ^4 y' t& x' s
            │              vias_1.geo
8 v, Z9 U1 `# J- A. r, T/ V            │              
9 `- G+ a9 N6 d/ R" x            └─tsmc
4 x3 P1 o0 L2 M                └─t-n90-lo-sp-002-f1_1_6a_20060914
+ V* Z# Y0 ]1 {* Y                    └─6X2Z
* w/ c" j1 I' u8 V                            corner.defs
3#
發表於 2014-11-11 14:41:26 | 只看該作者
回復 2# sinoicboy
4 [6 S- L2 i# R2 l- S" E- W1 p" |* m. r* |9 C: O" d  }3 p- t

0 H0 O7 K' c, P: i    thanks~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~``````
5#
發表於 2015-8-3 23:25:47 | 只看該作者
Chip123 科技應用創新平台
6#
發表於 2015-8-3 23:31:37 | 只看該作者
thanks~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~``````: g9 N# f- h. G7 f! o" s, H0 v0 X
7#
發表於 2015-8-7 13:47:30 | 只看該作者
The Future for the IOT, M0 and M3 will dominate the market.
9 U7 ^) q3 g; H4 G9 T+ {$ |
8#
發表於 2018-10-22 17:23:21 | 只看該作者
感谢分享!不知道是不是有用9 a2 s) G$ d; e8 H5 L7 n+ k2 A0 G6 H
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-6 08:53 PM , Processed in 0.114006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表