Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13345|回復: 7
打印 上一主題 下一主題

[問題求助] verilog clock generator question

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2013-10-29 16:14:19 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
Hi
# {1 R. M2 y" T% N9 i5 q5 v1 M$ l: H
  N6 |+ M" p: b) z8 l; f6 z. ^9 R) ~My clock generator is as follow
. m1 m& C7 B/ `' t* X
; W1 u7 C, i" r! d# Q7 s1 o4 R4 j`timescale 1ns/10ps
4 y' m) [! C& ?  X% K" Q3 K7 l1 ~5 s0 g' ]7 D% m* F7 h- X0 \
`define period 15
) |% r' ^1 b+ A0 a8 \, q
, Z) Y8 {: v% `module test();
, a& A" X+ y4 v0 yreg clk;, S. J/ N4 k' W& N4 b; w/ Q

% Z$ n, y$ g& O. ^  g( |initial begin
6 ?. A& P8 C6 g" {( v7 J6 _clk = 0;
1 w+ B7 Q; p' v( u7 f  P" rforever #(`period/2) clk = ~clk;% ]0 d. f9 O0 L: F; W3 l
end3 R  v  E5 B$ e5 V" m# K0 Q
. [/ D9 v8 @9 f: v
: l& Q7 |, p# @& |3 d
But i check the waveform the clock period is always 14ns.
9 T8 G3 A! Y/ ?$ W5 e8 a: x; x* @0 y
Could anyone help me the question ?' {2 _8 |4 p6 J1 z

8 X! L& }7 u6 M. a2 x' |Thanks6 Z4 d6 r, {5 U5 Q( H- \' ?

1 \1 j: v7 Y+ Y9 [) {* C2 l% W3 uendmodule
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂3 踩 分享分享
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-14 11:10 PM , Processed in 0.099013 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表