Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 7674|回復: 2
打印 上一主題 下一主題

[好康相報] ARM Cortex-M0/M3 Logical Validation Implementation

[複製鏈接]
跳轉到指定樓層
1#
發表於 2012-9-15 13:11:20 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
├─doc
, g8 J) V* @- Q9 m│  ├─Cortex-M0_TechnicalReferenceManual_Frame
) c# S- a* t8 M$ `7 i! q8 }│  │  └─graphics2 ]+ {# ^, C; k" c# t2 U% a
│  ├─Cortex-M0_UserGuideReferenceMaterial_Frame. M' ^- S- ^8 o
│  │  └─graphics
6 i; @; A! P1 u2 J│  └─Cortex-M0_UserGuideReferenceMaterial_XML
+ G8 O4 O8 p/ A7 r/ ]  T6 e0 _│      └─graphics( Z7 _* \/ N% s& t
├─implementation+ w. y! B" q% G; l5 m
│  └─vectors/ Y3 s9 U; B" f
│      ├─CORTEXM0IMP8 c+ ]/ y. Q& y9 D
│      │  ├─crf# {6 f1 J$ t$ G) h; C
│      │  ├─srpg! l' _( G/ g" n  J' ~$ K: @0 R
│      │  └─tbench* |- w: k5 o5 ~6 W. A
│      │      └─logs
+ [2 E+ L. D2 ^, \6 @+ y│      ├─CORTEXM0INTEGRATIONIMP2 l8 U( Z& B9 R  B
│      │  ├─crf. ?$ ]; ?4 O$ }' G! y2 r$ P3 e7 [
│      │  ├─srpg
" _- a1 v/ |0 x: p% c% k│      │  └─tbench) ?7 D5 C& q0 Z" m% p( @
│      │      └─logs* E8 v; r; ?& E* M/ N' @
│      └─tools
2 [3 M7 Q% D* X, O│          └─VerilogCrf2 h1 ^5 @! X" P9 r1 }
├─integration_kit
! B) j0 k8 f) X3 H│  ├─logical6 f5 T  y8 Y$ Q
│  │  ├─cm0ikmcu3 Z: w# |+ X/ P. `% s
│  │  │  └─verilog8 x  {9 M$ G* I+ `
│  │  └─tbench. A7 S; M' i8 z* e
│  │      └─verilog- i+ M, O, ^5 f% J
│  └─validation, H: a2 G8 ^! H/ ]8 U9 q. K
│      ├─glogs
/ }' q% T& r( M3 w# x7 g0 X% w  d) }│      ├─logs
( }, E7 _$ B# V│      ├─mdk
9 f9 O9 B; m. ^8 c4 Z- P│      ├─srpg
( a, X/ T5 o! y5 t) g8 W' _│      ├─tests  \# B) {/ e- r# g
│      │  └─CMSIS: d/ V" M9 \  M3 J; l' H* T0 C
│      │      └─Core
: I" L: N) e# A│      │          ├─CM04 J& z. u4 _( X/ }5 m2 K
│      │          └─Documentation6 i  ~; H$ ?1 o$ x  N9 L
│      └─vectors
3 \4 \2 q4 P  m" R- K├─ipxact, m# f) f0 P) t: t' @  C$ q! f
│  ├─busdefs6 P" R# x8 A# p% p) v. J8 U
│  │  ├─amba.com% H5 a- d* _- M
│  │  │  └─AMBA3
: c  e: `) a- i3 }/ a. f│  │  └─arm.com
, v" Y2 s: X; f; C& o│  │      ├─CoreSight
1 k* G. }7 k! z3 g: A│  │      ├─Cortex-M0  [) j1 n1 c5 O3 T
│  │      └─CortexMCores+ G7 K" {/ T, J; s' c7 a
│  ├─channels6 ]6 v6 ^& O! r+ G; M* |; `1 c
│  │  └─arm.com4 R  S( \) l9 a/ r2 H
│  │      └─Cortex-M01 L! [7 g: u* V  w# j1 d. m
│  │          └─rtl
4 Y' ~+ Q# E9 U2 x- Z' e│  └─components. o/ [9 o! g. ]* r- E0 V' _$ T
│      └─arm.com
4 C/ V& e6 |& K1 J│          └─Cortex-M0. u" P* M0 E$ u# v( h. p# M. t4 B
└─logical
* F; j/ B( K+ G1 {    ├─cortexm0, |' F) @2 y7 ]0 K6 r# U2 _
    │  └─verilog, E# t/ V1 M9 z- \. ?2 ?( h
    ├─cortexm0_dap
; Z5 H* G4 c! ~9 D9 ^    │  └─verilog
  H. W8 N& d2 a" G3 G! L3 X0 |8 p% J& h    ├─cortexm0_integration- o/ ]6 d% @* R- u% r  {0 n  ?6 P7 l
    │  └─verilog  \0 Z/ d! z3 p, P  ?0 O/ G, }
    ├─models/ D+ \$ g" n7 w& \1 J
    │  ├─cells
" u7 j; I# c  H% G# @! @2 W+ N7 R    │  ├─cpf9 H9 c7 x# E7 P/ W4 e5 ^" l
    │  ├─upf1 |% W7 @( G9 B# ^, X% ^( T5 Y
    │  └─wrappers1 P7 A- |9 U+ F  ]& T
    └─ualdis( O$ C  ], J( B+ x; o# ]" b
        └─verilog
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2012-9-15 13:13:35 | 顯示全部樓層
├─AT420-DA-03001-r2p0-00rel05 X& _0 \* e7 Q# G
│  └─docs$ n. @" I4 \( C6 `$ y  ?
│          DDI0337G_cortex_m3_r2p0_trm.pdf
' j  c5 R$ d) ?% `' s& V; A│         
( C( {6 J- W& i2 c├─AT420-DC-02008-r2p0-00rel0
  O& g2 b* T) _5 T; e/ s│  └─docs+ G; a) H0 k/ ?6 ]
│          DII0194A_cortex_m3_r2p0_csg.pdf4 c+ a. J  }4 e! D9 d, a
│          ! v7 ?- _% X* K
├─AT420-DC-13001-r2p0-00rel0
+ W9 s0 `! y! s# m- \1 Y+ B│  └─docs
0 @" ]8 y8 ]1 V' p5 @1 d3 e9 e3 W7 D( f3 ?# D8 T  [6 K6 _
│  │  │          CM3CodeMux.v
. U/ a/ z  ~4 N│  │  │          CM3flashmux.v
9 R  z: M* h8 F$ b# f' n; e2 }│  │  │          CM3ROMTable.v
9 D) L% z0 r9 [; I8 ?5 ~│  │  │          CortexM3Integration.v- h! E( e- F: [) @: c  ?; N, p
│  │  │          : |; N3 C3 p/ |* Z$ B7 @2 n, c
│  │  ├─dapswjdp
: r3 g5 E1 ~% u│  │  │  │  README_DAPSWJDP8 V  P) e+ ]1 w9 ?& d/ p
│  │  │  │  
1 i; |, Z* |6 N5 E: }* A9 K│  │  │  └─verilog5 \9 g$ q4 k$ `5 q. N5 X
│  │  │          DAPDpApbDefs.v
+ x' z' t' V4 \8 X- L│  │  │          DAPDpApbIfClamp.v6 F% M4 i6 R$ w# `. R. g
│  │  │          DAPDpApbSync.v( ?: n7 D7 X. g3 \- \3 N# I
│  │  │          DAPDpClamp0.v! z, k* ^0 l6 M) B* o* W2 {2 r* m& A
│  │  │          DAPDpEnSync.v) F  C/ ?4 S' U5 r
│  │  │          DAPDpIMux.v
. d, Q& {( @3 e* c  N! R  v│  │  │          DAPDpSync.v* q! ~5 ]" d& j$ K; }7 L. ]$ |
│  │  │          DAPJtagDpDefs.v
' v, I% P* V- X│  │  │          DAPJtagDpProtocol.v# @; n! f# K2 R# P1 O
│  │  │          DAPSwDpApbIf.v
) u8 U6 s% f8 s1 |│  │  │          DAPSwDpDefs.v
+ A& H; G' B: d/ }" Q# O, s* |# ]│  │  │          DAPSwDpProtocol.v! n. x8 @2 _7 ]1 ~& l. y- y
│  │  │          DAPSwDpSync.v: ~/ G) J; L) g3 V1 q, g  Y
│  │  │          DAPSWJDP.v
( G0 K/ i; ~3 R' r( t: {: ~& y│  │  │          DAPSwjDpDefs.v
$ J2 h4 a8 I# V4 L│  │  │          DAPSwjWatcher.v( ?* E3 M! Z# y& i
│  │  │         
/ V; k$ d# W% a1 q2 t│  │  ├─models$ L: g* r! }' \2 y0 ~! K. X
│  │  │  └─cells% V- Q2 L# G* s& |' Q8 Y
│  │  │          CM3ClkGate.v) h3 v5 q) x- D
│  │  │          CM3EtmClkGate.v
* f# i% B7 f$ z- d* W│  │  │          CM3Sync.v
% y5 b3 t  m% e' y" \  ?│  │  │          6 r& H% T$ D9 d! W, ~7 S1 `2 T
│  │  └─tbench
9 x; I8 w/ ]$ z0 T( ]│  │      └─verilog
* |2 f) F& |! l2 L8 e" X( J│  │              AhbToApb.v8 o2 b. Q+ {- @& ~: w' F' `
│  │              AtbLogger.v
, }0 J8 u& h+ ^7 l% a2 H: u│  │              BusMatrix.v- z& Q8 b5 w+ K$ D& b# [: ?; @
│  │              ClkReset.v
/ T! H- ?( Y6 R- _1 a6 A5 S, w4 j│  │              CM3BusComparator.v
& o: n( U; B$ N7 Z# Z│  │              CM3BusGasket.v5 p3 o2 o# Y( D8 o/ T8 h; [* I
│  │              CM3TestExAcMnAhb.v% G/ K5 c) {' O1 d  [1 i0 g
│  │              CM3ValAHBSplit.v% P! g' l0 @% D7 g7 v, \2 [
│  │              CM3ValApbTrickBox.v1 n0 U* ~) b& z# Z6 [
│  │              CM3ValBusCompareCtrlReg.v! q" Q1 o' w' i0 f5 A
│  │              CM3ValControl.v
3 m: J6 X, @& z8 }( p& _2 a  N* j5 q; }│  │              CM3ValDebugCore.v0 q% a8 y3 h6 F% k/ y; P
│  │              CM3ValDualPortRAM.v9 v. `/ k1 D' |
│  │              CM3ValDualPortWrapper.v5 o6 T, C( \* @' ~
│  │              CM3ValETMTrickBox.v
/ v/ G; v: P% t9 G* Y1 ^* p# d9 h│  │              CM3ValHTMTrickBox.v
2 O: I% i6 H* \/ a* k: z│  │              CM3ValIRQGenerator1.v
3 N7 {2 x, g- d0 q- v│  │              CM3ValIRQGenerator2.v  t- }( d/ ?/ Z# k0 v+ ~* X
│  │              CM3ValJtagTrickBox.v
, N0 h6 O0 o& i- M│  │              CM3ValMemory.v
* C+ b8 ?5 s: M+ Q$ J│  │              CM3ValPMU.v
: ?0 E8 _3 D) C6 }│  │              CM3ValRAM.v2 u, C9 m0 ~3 N/ \5 W/ M
│  │              CM3ValRAMWrapper.v; ?0 D. r- n. ~) `4 S- z  Z
│  │              CM3ValScratchPad.v3 q- a! ]6 G) @. {$ ~/ i9 C$ o
│  │              CM3ValSWCapture.v
6 E0 P) b' w4 g│  │              CM3ValTBDefs.v( j8 r2 J* s* e/ R) Y
│  │              CM3ValTraceOutput.v! o! D% m0 R$ G
│  │              CM3ValTraceSync.v6 r, c) O( j0 A- u. A/ y7 i

* b% R' s  j3 \* W0 X/ V: B' {2 y│      │  │  exclude_list.sc_waitstate, _5 o0 h9 p% @. B" o, }" d
! w1 `2 I$ c6 O4 R* Y/ Y
            │  └─fe_tsmc090g_sc-adv_v10_2007q4v2
6 y$ O5 K# W& ?            │      │  scadv_tsmc_cln90g_rvt_ff_1p1v_m40c.cdB
; s/ g/ \; C* [$ f. R            │      │  scadv_tsmc_cln90g_rvt_ss_0p9v_125c.cdB8 E* T( z. a! B' Z% n0 k5 l
            │      │  scadv_tsmc_cln90g_rvt_tt_1p0v_25c.cdB! _; s. ]0 F1 V! j$ k
            │      │  
2 k8 G' A( N' ?1 F* i            │      └─scadv_tsmc_cln90g_rvt_tt_1p0v_25c_dv.cl
, L  [; h5 J9 x7 u            │              cells_1.geo. |* ]; T) I6 q" F" _
            │              cells_1.pwr# B/ t& Q! C! F8 a  X" a6 M
            │              index.cli
3 p4 Z" y. x( A& k' [            │              README.TXT* ^; x) K# r. \' h. [: P
            │              rulesets7 t' O+ X& O7 Z& {) F6 K* X5 M/ l
            │              VERSION.TXT7 Y! X1 u9 s2 A! L* P9 ~8 z
            │              vias_1.geo
9 T+ s7 t6 D6 d6 K1 ?            │              * D! z# k% _, x
            └─tsmc  f: m& `' R" e& W! \( |
                └─t-n90-lo-sp-002-f1_1_6a_20060914
" B5 k1 H$ }, s/ a( j& J3 P- X                    └─6X2Z
, a5 g. }: P# o# F. `4 I                            corner.defs
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-17 08:36 AM , Processed in 0.105013 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表