Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10513|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上
$ m% q, H0 J+ e$ dverilog中讀取BMP檔
6 [3 f: i# m; g3 b: u: I6 q( N程式碼如下:
  1. module test;# r3 b  Y  v8 ^; m
  2.   integer fileId, i, cc;! \9 ]+ r9 E' j' a5 T1 V' d5 E) Z3 {
  3.   reg [7:0]  bmp_data [0:2000000];
    9 Q3 X1 n, b: R% U3 l  k
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;! F! C. G. I* A- p/ j3 a

  5. 5 n/ J, v; u, r8 P) B2 s
  6.   initial begin
    * ~5 W, E0 e4 [7 s1 y/ z% o  y
  7.     fileId = $fopen("gray.bmp","rb");
    6 q, r% E$ f3 P( D4 ^& ^9 d3 ?3 \
  8.     cc = $fread(bmp_data, fileId);% J3 D; A5 {2 y1 x( _
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};
    5 Q# T2 K7 n# E9 O
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};# c6 x/ f+ [- E0 }' j
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};4 Z9 {8 g0 J; z" b4 H
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};3 W4 _% ~7 o7 t6 [: Z
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin, @! Y5 g1 f3 s! ^, T5 m  L. i
  14.       $display("%h",bmp_data[i]);
    " d. q+ F- s% g0 J* c5 D
  15.     end3 O8 K# X; r1 w
  16.     $fclose(fileId);
    % a) C- Q* G6 l, P, u5 I$ B
  17.   end) \9 `3 [: P2 z0 j
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-16 08:46 AM , Processed in 0.096012 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表