Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10481|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上. M+ W9 R& {4 I! R
verilog中讀取BMP檔
" K. d0 b' q+ P; e程式碼如下:
  1. module test;" H, k+ L+ d# l6 T% F. y5 D$ K
  2.   integer fileId, i, cc;2 r5 R8 J) ]- y9 V$ q- ~- M5 R; \
  3.   reg [7:0]  bmp_data [0:2000000];
    ! m# e; n# I+ f5 f% B' [
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;) U4 L$ K5 y: P' `6 L6 M
  5. 5 |( t$ \* v/ r  |, K
  6.   initial begin8 ]' X+ h8 G" c5 s! u& D. `4 \
  7.     fileId = $fopen("gray.bmp","rb");, t  g0 O& b% h/ A8 i
  8.     cc = $fread(bmp_data, fileId);* _# K/ t. H( y0 {! R4 q  ]& T7 c: R
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};
    8 I5 H/ O7 s* H8 K# p
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};* C4 H! H# r' b) y6 L- L
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};
    9 Z: u6 i  b7 y4 @
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};* h  }0 t/ M* ~$ X3 g. M/ r
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin- ]: |( Q; P6 Z' R, u
  14.       $display("%h",bmp_data[i]);/ V" k- L8 E8 R: u3 ]# U
  15.     end+ j& H3 K  |9 ]; a' O
  16.     $fclose(fileId);
    ; _" V1 R. {! G% K, P$ {
  17.   end( I0 M0 G+ T8 b7 |8 J/ t
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!4 U  q; [/ A6 W& U8 n5 {9 t
學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-29 06:38 PM , Processed in 0.108006 second(s), 21 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表