Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10499|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上
* j+ y( m+ `6 Gverilog中讀取BMP檔# d2 u- h9 Y' t( @( y0 E
程式碼如下:
  1. module test;% n7 x( F9 e1 }, u; n3 X! h5 ]' T
  2.   integer fileId, i, cc;, V8 Y' h/ n1 A! U
  3.   reg [7:0]  bmp_data [0:2000000];
    $ e# ~* A5 a. S8 [  f7 `4 B" X
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;
    6 o7 o* V" |1 i) F* S' `: y
  5. $ k+ u% O: \# \# r) K2 j
  6.   initial begin* ~8 V3 R' |* S8 D( j
  7.     fileId = $fopen("gray.bmp","rb");: x; k  y: N0 m) |+ j
  8.     cc = $fread(bmp_data, fileId);
    9 `9 o' y, k$ v7 `- N, x
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};9 v* F! O1 j0 v% r/ t
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};$ Q" R3 k/ H: O2 b- X0 D" U
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};/ M1 f. e: d+ Q  ~% o+ U) M
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};% R# `: E' E" B* N7 z' F! ?! m
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin# ]' R- t2 _. P7 q, b  f1 a
  14.       $display("%h",bmp_data[i]);% i* B, T/ S! {: f" a# P
  15.     end' [) W5 u: a+ K. Q" n$ m: J4 S
  16.     $fclose(fileId);5 x; J) [0 n. N1 Q  m/ E6 I6 ^5 y
  17.   end
    ) k( ~: a7 N2 R' u; G* W; m
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!
7 H2 l8 ~" o2 s: x學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-7 12:51 PM , Processed in 0.101006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表