Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10498|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上
4 Z% N: D$ g% k/ A& ]7 i& dverilog中讀取BMP檔
( u* ^9 |2 @3 y- u2 z$ ^程式碼如下:
  1. module test;
    * D6 X8 h6 \1 D; ?9 z
  2.   integer fileId, i, cc;
    0 J8 F/ y  i( Q% ~* n. t( `' l
  3.   reg [7:0]  bmp_data [0:2000000];
    - [, N& g; B% g6 j) c7 V. ^$ N
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;$ {! D1 A1 A, D; q7 W1 a; e5 ]- b

  5. 9 u+ ?8 F0 V8 O7 |9 Z& X6 `0 H1 m
  6.   initial begin' ]% p; L* G- ], V0 I+ f
  7.     fileId = $fopen("gray.bmp","rb");) z7 m: m4 p2 \) F9 B
  8.     cc = $fread(bmp_data, fileId);6 W. u6 ]7 g! ?0 e
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};
    7 Q3 p0 H2 `7 ?- y: w6 w' d* i
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};2 R# R0 R6 t( d  c8 t
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};
    ) R: D( o( X; B+ m, Q
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};
    1 S, V4 v& @  m6 C
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin$ ^( h- t' ~$ X- J4 f3 K9 l) G/ I
  14.       $display("%h",bmp_data[i]);
    2 ~) _% h+ v4 K$ y
  15.     end
    ) a6 i. y) V8 F3 Q$ m5 B+ R
  16.     $fclose(fileId);
    ! B  o, s  d: O( y7 S) p2 {7 f
  17.   end, L7 j5 J8 \" P* ?: q9 E* S
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!  i( }6 B2 Q% V! `% c# n
學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-7 04:24 AM , Processed in 0.104006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表