Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10508|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上: c1 l0 v1 r2 y. {2 Y( Y9 M
verilog中讀取BMP檔
4 I" p! C8 w1 Q. z, r3 N2 r( \程式碼如下:
  1. module test;- q% s7 }5 z) G; g" E4 ^5 ~1 g% I3 Y, V
  2.   integer fileId, i, cc;
    " F5 U3 O4 _" e: y3 k
  3.   reg [7:0]  bmp_data [0:2000000];
    9 K) _. W: p: o  k9 M/ _
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;+ {8 x" c/ X/ d! h8 S
  5. 8 }$ L9 T. N3 g. L6 Y7 ?' a
  6.   initial begin
    # _, K# U1 k1 i4 g- F" z" n  h* [
  7.     fileId = $fopen("gray.bmp","rb");; y' F* f" e# t  b- \+ m; }
  8.     cc = $fread(bmp_data, fileId);2 ~( y6 p: i. w4 U$ \
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};5 }3 I+ h8 z6 z+ I8 P$ r: M' c: k/ j
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};, u- T- [9 l3 {. L2 B
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};
    / O3 ]# Z! T5 F/ Z* z) F5 S& ~
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};- I$ Z6 ]- Q& Y2 k
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin( n+ J/ F* a2 l3 O5 x% W3 y/ _: ^
  14.       $display("%h",bmp_data[i]);
    9 w- S7 k. o* d" W% y: P/ p4 h
  15.     end
    6 f1 G$ F7 C2 T" v2 J$ X
  16.     $fclose(fileId);3 P% n6 l. @) {/ ?
  17.   end% P7 D5 ?8 \; H" ^
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!, Y4 a8 m# C1 p# g( @4 M2 E
學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-15 02:42 PM , Processed in 0.109514 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表