Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 20393|回復: 22
打印 上一主題 下一主題

[問題求助] 模擬OP時close loop出現奇怪的振盪現象

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2010-5-21 06:45:41 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
大家好:- B! T, [( g' n5 _' ~4 R

5 X* J% d  z& E2 w" Y/ t小弟現在在模擬一個Folded cascode two stage的OP" H( t7 h# ]5 y2 a4 Q2 j
其open loop的響應一切正常,增益約為90dB,PM=70度
, F% _6 s7 t1 |但是把它接成close loop測試其settling時出現奇妙的振盪問題
: y6 W6 ]1 K2 j已經debug兩三天,實在找不出原因,之前用傳統two stage架構沒遇過這種現象
2 j) F1 O2 X" Z( J不知道是架構選取的問題,還是有哪些原因是沒考慮到的: [$ U/ ^3 r/ Y  t
煩請專家們抽空給點意見,謝謝$ B& u- {$ d% ]$ v% \$ g
' p7 x! R9 l+ w& K
架構如圖:
% x, e# y* j+ V7 H0 p/ F4 ]0 j' M5 d; N% }0 _; L

6 f* X6 [! j. O! t其響應如下:' \, d' N1 A! O/ u

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏1 分享分享 頂87 踩 分享分享
推薦
發表於 2010-6-8 21:27:29 | 只看該作者
我的理解还是phase marge的原因,这种情况的发生是因为你是用线性区的mos做调零电阻,在扫输入电压的时候,在接近VDD的时候CC与RC(MOS电阻)形成的零点会飘,使得phase marge不够i。你把mos电阻换成普通电阻试试,应该不会有这种现象了~~
回復 支持 1 反對 0

使用道具 舉報

2#
發表於 2010-5-21 08:22:24 | 只看該作者
Try increase compensation cap.
- q8 l( E$ G8 @: \Re-run ac sim again while adjusting the input DC point
3#
 樓主| 發表於 2010-5-21 09:06:18 | 只看該作者
您好# v* z0 A% X6 V# _, ~$ ]

1 n& l6 {, p4 z/ ^' J我原先的miller cap是4pF, totally frequency response如下
: ?/ R; J7 w5 N; s+ C2 w( O7 s2 `/ y' R* G9 L& ^  N

, z5 [& F9 `7 w9 W當初一開始就覺得是phase margin有問題,可是怎麼check都不像' I4 G+ ~# C$ I$ ?( }) E0 K, b! g
當miller cap等於400pF時,這個現象仍然存在,下圖為我打入一個step之後的響應% ^  b( {! z- U) N( q
2 x) {, `1 L3 |0 C& w/ ?

1 @9 l8 Z' D2 z0 _2 a就只是振盪變緩了,可是整體現象仍不變
! n$ s  z3 n& n: o& E不知道該怎麼辦~~感謝您的回答

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
4#
發表於 2010-5-21 10:31:53 | 只看該作者
本帖最後由 arsenal_he 於 2010-5-21 10:33 AM 編輯
4 ?# z4 F4 s. V4 Q# |% q9 b; a, c9 i0 Q0 m
How about set smaller plot step size?
& `& K. B, F2 w+ g9 J) g  nIn addition, how did u connect the close loop?
5#
發表於 2010-5-21 13:08:03 | 只看該作者
請問一下,run ac & train分析時,在output端的load是相同的嗎?
- ~' d0 K* {' e# y. _4 s奇怪的是,在ac看到至少有100MHz的unit gain band width,怎麼會在train分時,slew rate要10us?
6#
 樓主| 發表於 2010-5-21 17:26:51 | 只看該作者
感謝阿森納與suewe的回應,我的loading cap.都是假設為200fF
0 x7 R9 b, T5 m8 J5 ?- l您說的將X軸的time step改小我試過了,仍然得到一樣的結果
; f2 s; Z4 i5 |6 b其電路的接法就如同傳統的unity gain buffer如下+ R/ }1 f' A' A. l% Z
在vin+端打入0-1.2V(VDD)的信號來測試其slew rate與settling time, O) {0 U' r, Y  G+ F

  G5 ~/ R! @& a- n0 {9 a9 p0 j很奇妙的是,如果我打入的輸入信號是0-1V就不會有這種情況,如下圖所示
; D: B# o/ i& Q3 m" r此時的slew rate就"看似"為正確的, ~/ @% z$ N7 U0 }: V

& U  a- x' B3 v6 S8 D+ g1 _8 r- X& `但對Y軸zoom in會發現還是有奇怪的振盪信號存在( X+ I" \& A+ \5 c

' Q+ m8 p& Z% h/ j+ P. L% w+ T打弦波去做測試,發現在input為100-MHz時
3 T* n/ J) X: m- W/ f會有一個很明顯的反轉現象,關於這個我沒什麼sense
: w9 A* B0 D' ?* c打10-MHz或1-MHz的input,輸出也會在某些地方會"措"一下/ k' ~; j0 n1 V! r- {0 Z7 l( b

+ Q: o- s( ^0 a" s) j0 i6 T/ t: ~在小弟的認知上,open loop的PM對應到的是close loop的damping factor( {& m7 p3 R/ e
大不了就抖一抖,但在PM為正的情況下會越抖越小
0 u0 Q* {4 F5 o, e然而這個現象比較像是在某個點上滿足巴克豪森條件9 \) T9 s6 {; O3 ^7 H. H  u
能力不夠實在是無解,或許是我電路有接錯也說不定

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
7#
 樓主| 發表於 2010-5-21 17:34:43 | 只看該作者
以下為我的spice code,煩請有心人士不吝指教
* y* F$ \" O% l" i  W因為有點冗長就用貼圖的
2 M! w  S# g0 m, P1 J. G: _: q7 _: h
; n/ Z( n- l0 v8 K1 V9 i/ h* o  a( r: R- n2 x6 A1 `

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
8#
發表於 2010-5-21 17:41:53 | 只看該作者
請問樓主你跑AC分析時, 你給的信號輸入DC 是給1.2V嗎???. W' \$ Z2 A* W0 Z7 e8 a
若是??你的power是1.2V, 輸入DC LEVEL 1.2V 有些
0 h, d+ f$ H8 J7 GMOS的操作區間應該會掉出飽和區, 這樣gain應該會掉下來
3 w  v) L8 W: s! x1 Q若不是, 那你的AC分析點並不是你跑暫態的操作點, 這樣你的
0 K4 G1 m& w8 z" k頻率響應結果並無法對應到你的暫態響應結果
9#
 樓主| 發表於 2010-5-21 18:49:44 | 只看該作者
我貼code好了,剛剛發現點圖好像除了我自己以外都要錢
3 O! P& k6 }* P# V/ q4 c# K真的很抱歉,我不想故意歛財
+ `' b/ t" l' I) Q3 \8 G0 e! Y. J  `$ g6 C: ?' w% n3 d  L. ^$ u
以下為第一部分
: {6 Y' f$ ~' j: X  v. P5 \! c3 \+ |1 v8 @+ C% `
.option post accurate acout=00 ?: b0 J& _1 {7 t
.global vdd gnd!* D9 D: P, X8 W; F; z) m/ h

( [, Z  X0 p$ y$ ?0 F0 U' S****** Supply ******: n- n" A$ K" B, e1 ]

6 [1 l8 G) b9 B; k8 \- }Vdd  vdd gnd! 1.2
' r# D$ M" h: [: lVss  gnd!  0  0
/ D. U, H$ e7 v3 yVin1 vin+ gnd! DC='vdc' AC=1
* i, v8 A. C: l7 AVin2 vin- gnd! DC='vdc' ; C3 @5 u+ M: j% a3 I4 h7 q
*Vin1 vin+ gnd! DC=0.6 pulse (0V 1V 0 1u 1u 10u 20u)*SR- M, W* A: q# Y$ [( w
Vin1 vin+ gnd! sin(0.6 0.6 100X 2ns)! {* B  a2 t3 Q! _- W9 U/ D* E/ N
.param vdc=1
/ o: d/ g, M0 r) c! s**************************************************
/ v: f& B  b: |9 {& Q' I) s* P4 o; o! z7 `" g3 @% w
*bias*
* U2 L/ E4 z% L5 b5 q
- S0 p7 a2 \$ k3 P7 z.subckt BIAS vbiasp vcascp vbiasn vcascn
: g6 c; b) D4 \1 O' w
; c* B( Y; ~& I* Q; D0 {6 u3 t- nM1        vbiasp        vcascn        nc        gnd!        nch        w=10u l=1u M=1. ^4 g5 }3 U6 N7 _- g, `+ n
M2        nc        vbiasn        nd        gnd!        nch        w=10u l=1u M=48 T. P( H% q# t8 Z, n; ^. x
M3        nf        vbiasn        gnd!        gnd!        nch        w=10u l=1u M=1
. y; i) ?: s4 N9 n/ NM4        vbiasn        vcascn        nf        gnd!        nch        w=10u l=1u M=17 \* j& \6 N3 b
M5        vcascn        vcascn        gnd!        gnd!        nch        w=2.5u l=1u M=1
5 A6 L- @  O, lM6        vbiasn        vcascp        nh        vdd        pch        w=30u l=1u M=1
. P) I5 ]) O0 n+ x/ PM7        nh        vbiasp        vdd        vdd        pch        w=30u l=1u M=1
8 q9 o! N- c! H( dM8        ni        vbiasp        vdd        vdd        pch        w=30u l=1u M=1
$ c8 G$ Z: F; g8 X/ p3 e5 {M9        vbiasp        vcascp        ni        vdd        pch        w=30u l=1u M=19 [+ Q1 ~8 A$ A. D
M10        vcascn        vcascp        nj        vdd        pch        w=30u l=1u M=1
. U0 I& Y* G5 h8 F1 ?" L# K! k7 N. \M11        nj        vbiasp        vdd        vdd        pch        w=30u l=1u M=1: ?1 D. }/ f' C" [/ n, R
M12        nk        vbiasn        gnd!        gnd!        nch        w=10u l=1u M=1" l# _# R5 N1 H9 C2 C
M13        vcascp        vcascn        nk        gnd!        nch        w=10u l=1u M=1; F( D/ e; W! M
M14        vcascp        vcascp        vdd        vdd        pch        w=7.5u l=1u M=1* f- K0 V. a2 C5 n
Rb        nd        gnd!        2k
- N6 o9 H: H1 b' R* W3 W, W$ Q* S. _- ]
*start-up*
0 Z0 p: K4 T) \- \3 X5 o( a- e/ o3 C: NM15        vbiasp        nl        gnd!        gnd!        nch        w=10u l=1u M=1
! j  @) l' b+ R+ \! GM16        vcascp        nl        gnd!        gnd!        nch        w=10u l=1u M=11 j; d5 {; _4 r7 s6 H  K5 K
M17        nl        vcascn        gnd!        gnd!        nch        w=10u l=1u M=1
( r" D3 G6 k. c- P2 ]$ F, uM18        nl        gnd!        vdd        vdd        pch        w=0.2u l=1u M=1
  z7 L( t' ~! \% N! W/ V6 O& i6 ^
. V" o$ I! A) b$ j.ends' @4 J$ O. ]( y  E

; L% A( @* K5 g4 p9 w0 O2 dXbias        vbiasp        vcascp        vbiasn        vcascn        BIAS
, z1 g6 e; i  w- x% R" r- F# T
" V4 p6 o3 M/ w6 f. o5 x) }, s+ B9 O*first stage*
! o  `$ a4 \6 C/ N- j4 [Mq1        n1        vin+        n3        gnd!        nch        w=10u  l=1u M=10
1 }: L: K& L9 i9 Y% a% }! ?0 b*Mq2        n2        vin-        n3        gnd!        nch        w=10u  l=1u M=10% z' M, d; A/ r8 @5 B
Mq2        n2        vout        n3        gnd!        nch        w=10u  l=1u M=10 *SR test
# u9 _+ J1 p2 `Mq3        n1        vbiasp        vdd        vdd        pch        w=30u  l=1u M=4
. G) a: Q  Q1 |9 P7 E: \: nMq4        n2        vbiasp        vdd        vdd        pch        w=30u  l=1u M=4
# G4 W8 [1 V. o* V! VMq5        n4        vcascp        n2        vdd        pch        w=30u  l=1u M=1
0 A- y, j& N6 m1 U1 CMq6        out1        vcascp        n1        vdd        pch        w=30u  l=1u M=1$ E- ?$ q/ w: F
Mq7        n4        vcascn        n5        gnd!        nch        w=10u  l=1u M=1
! z8 E9 c# u+ [Mq8        out1        vcascn        n6        gnd!        nch        w=10u  l=1u M=1
) @( ]- W2 p1 x7 V  l4 t9 CMq9        n5        n4        gnd!        gnd!        nch        w=10u  l=1u M=1) t4 q, R" ^: _; ^( ^* K- K
Mq10        n6        n4        gnd!        gnd!        nch        w=10u  l=1u M=1
0 o( _* C4 K4 IMq12        vbiasp        vbiasp        n1        gnd!        nch        w=10u  l=1u M=1
; w5 J$ O' q8 P$ ZMq13        vbiasp        vbiasp        n2        gnd!        nch        w=10u  l=1u M=1
10#
 樓主| 發表於 2010-5-21 18:50:30 | 只看該作者
以下為第二部分,感謝大家看到這邊$ E0 u; G) l- e0 |& u3 @7 @

+ t, ]+ r% B) H( BMbias2        n3        vbiasn        gnd!        gnd!        nch        w=5u l=1u M=13' k, }, X" J& l( j/ J, s( g' K
) N4 }0 |9 U3 L8 Z
*two stage*7 V6 ]9 o5 M  w0 z
0 G! B0 ]1 |8 X" i: a
Mt1        vout        out1        gnd!        gnd!        nch        w=10u  l=1u M=6
+ m7 T& z0 h' B3 L4 }1 B/ f2 eMt2        vout        vbiasp        vdd        vdd        pch        w=30u  l=1u M=21 }, E8 C: y; z' u$ K: F9 ^# v

: m6 V) G$ Z: `5 n9 T& _/ q# KCload        vout        gnd!        200f# B5 F! R. \+ B* [, i
, w' Y( M( ?" M% S: D0 S5 t; u: [; ]
*lead compensation*( @7 m4 K8 e  C
Cc        vout        n7        4p. d/ v3 {6 D6 J
Mc1        n7        vdd        out1        gnd!        nch        w='Wc'  l=0.2u M=1% d% j' G: Q) t
*Rb        n7        out1        'Rb'
+ z+ K, c8 ~3 D.param Wc=0.8u
. _0 s7 P& m. _' c# x$ C8 w* e
# A: e. g$ {. U2 m% e' ]9 |* L****** Analyplysis ******9 H# z! A0 N  }) e, S
.op
8 A" X! M% }! C  A4 L* [*** DC ***
6 M+ c+ ?) x8 O" B6 M/ i5 A*.dc vminus 0.59 0.61 0.001; C% D$ K% j! E! ^, n: m
*.measure dc        Input-Offset        FIND        v(vin-)        WHEN        v(vout)=0.6       
7 r3 ]3 @; ?4 q0 Q# h$ Z& ~7 |5 o*** AC out ***( b- u8 Z8 O; F" N! L
*.ac DEC 100 1 200X
3 R6 s7 ^; l+ ^$ o9 X. z.measure ac         Unit_gain_freq         when         vdb(vout)=0
- V# o) ]) C/ J$ |.measure ac         phase         FIND         vp(vout)        when vdb(vout)=0
* }" u3 [' N, \- u3 j.measure ac         gainmax         MAX         vdb(vout)$ `/ X& B7 x+ I  H( P
.probe ac PM=par('vp(vout)+180')- x+ I1 J$ Y5 I/ `$ y1 f3 j; N
.probe vdb(vout)
- R4 A! q) `4 d% L' @( d.probe vp(vout)
$ V/ C9 ]: I; f, j6 Z.temp 270 _1 c5 Q4 M+ Y: R
*** Slew Rate ***2 K' k# a  ]# x. m# s% ?
.tran 1n 2u *100u
, J% x: Q7 k; [& D+ i3 L: @1 n*.measure tran UPSR DERIV v(vout) AT=0.5u
& D! O; O. f* w) a; c$ N/ @: q) `*.measure tran DNSR DERIV v(vout) AT=1.5u
; @3 c, }( `9 L# V' O, y* `2 H5 X0 Z/ {! u, N4 @9 W3 P; h
.end
11#
發表於 2010-5-22 01:13:45 | 只看該作者
You opamp is not rail2rail in or rail2rail out, and even for 1v application, still you need to decrease the vdsat of your current sources
12#
發表於 2010-5-22 22:35:12 | 只看該作者
看起來是你第二級那邊有問題,一般的摺疊疊接怎麼跑都沒有這問題! y& ^: P( F$ b; a- T) c% i/ v  F
不然試看看把把L調整一下,不知道你是不是因為要衝增益或是計算方便才把L調這麼大
13#
發表於 2010-5-24 14:22:19 | 只看該作者
檢查一下bias ckt 的 vbiasp vbiasn 波形是否為一常數值
14#
發表於 2010-5-26 09:35:37 | 只看該作者
VDD才1.2V,
) e( |& y* W( ~, a' t0 x4 n輸出端又是class A, 怎麼能夠讓你跑rail to rail??
8 g; H. q$ ^% Y3 O, Q) A. LVin能到0V也是大有問題,輸入端也不是rail to rail,, x$ i( O- p( q- {
Vin=1.2V的相位失真應該是在輸出端, 因為早就失去它飽和區的操作~~
6 R0 K9 Z  R$ m2 oAC沒有問題是因為你的輸入偏壓點DC=0.6V,當然合乎她的工作範圍. Z' g3 e1 K7 q
用sweep的方式,DC=0~1.2V,你就會發現哪些偏壓點的相位失真了, j. k3 ^" W$ D
; y& M5 M: b8 W7 ?- j
這是新新手常出現的問題
15#
 樓主| 發表於 2010-5-26 17:09:48 | 只看該作者
本帖最後由 Bookert0921 於 2010-5-26 05:10 PM 編輯
, L3 |! B1 A9 q5 N# ]/ L: u8 l
8 x% y5 }2 L+ x) v4 }# F: I/ E我後來debug出來了,跟大家分享一下,以下先回應各位大哥的問題
; ^8 v# ~" k: d  E& S! J1 ?期望可以學習到更多的東西
9 j  [; _3 \( q/ W- p
+ G' z9 h: j) |/ M回應阿森納大- t6 [7 O* |, Z" o7 N
就算input不是rail to rail,其整體操作打0-VDD的方波在接成unity gain buffer還是可以達到應有的輸出/ I( W& B! D  E7 `  D1 c
只是在那時並非操作在電晶體該存在的區域,所以速度會呈現像是e^-1次方緩慢成長而非線性增加
# `' w/ s* O# f6 b; N以下圖為例,是一個PMOS input的two stage OP$ d, ~3 @" w0 w* x0 ]/ j& L4 R5 a
+ z5 {6 |. ?2 s
當Vin+端為VDD時,電晶體關掉,而Vout逐漸上升
! l3 s4 l9 w3 U  K0 l' F' F) l# w左邊Vin-那顆電晶體也逐漸關掉,但相較於右方輸入級接到的是絕對VDD的值
* l5 v8 D4 m+ i- q6 f' K; ^7 T左邊電晶體關掉但因為Vout還沒等於VDD所以subthrethold leakage會比右邊的大
, ?4 h. R" G7 L- y最後逐漸將他充到接近VDD而完成一次buffer的操作
5 U  y: u0 m1 z' t
6 k+ y. }, J" d3 w' x/ k而輸出端是rail to rail吧!輸出為零時把下方NMOS壓到triode region輸出VDD時電流源自己triode
: A( y) D% J6 a我之前在模擬一般的two stage OP和gain boosting OP時打方波進去都是OK的) y5 D4 g7 a5 G6 {8 h+ K

8 j) r/ [+ P+ C6 c' k回應e2000大- Y, U# O  O1 p0 b- c- S2 P
channel length是為了在低壓下實現出高增益的放大器. D4 f4 b* t$ `" d9 K( x
主要是因為之後要做的DAC大概估了一下gain error導致的nonlinearity而算7 l' y4 a" R' S% ]7 J1 `. {
速度上的考量是還好,重要的是精確,所以當時才會以length為1下去做設計

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
16#
 樓主| 發表於 2010-5-26 17:10:23 | 只看該作者
回應li202大
3 _# J, T4 z1 K( ]8 d輸出端肯定是可以跑0-VDD的,雖說是class A操作,在大信號的操作下
9 c) T- q. \4 B: F仍然有辦法把電流源hold住或者把它全部導到地
4 T: J  U# r7 Y0 E4 G" E而針對你所說input DC位準在1.2V下其他電晶體會跌出saturation6 o- Y+ p. v, l
我有不太一樣的看法,一般來說NMOS input,在意的是common mode的下限
5 v& J) y9 `: N3 {7 w, P8 f而PMOS要注意的是common mode的上限,對NMOS input而言
: l' J) \, p+ z: {只要操過那個點之後電路都會維持在saturation region$ `1 q, P2 |& B4 X$ G! D
而會改變的是電流源的drain端voltage,但那只會讓電流源更加的deep saturation
. Q6 k2 C3 c( m5 ]! y0 ]: B所以應該不會造成其他電晶體跌出saturation外. a9 v7 g" q8 n" u3 u" g: e
而輸入端rail to rail我在前面回應過阿森納大,我認為輸入不是rail to rail沒關係( M# l% S; J$ q+ q
若有rail to rail的方波打進來,接成unity gain buffer後只要輸出可以rail to rail即可呈現
17#
 樓主| 發表於 2010-5-26 17:11:45 | 只看該作者
後來這個暫態的問題我自己的發現是因為folded cascode這個獨特的架構% J, U$ y% q( S. v
如同B. Razavi AIC的p.333和Martin的p.268,我把圖抓出來如下所式
3 D9 M6 v4 K5 g. \. \! q當Iss>Ip時會潛在性的造成電路有不穩定的可能發生,我當初設計時有加入clamp transistor(圖上沒畫但code裡面有)
6 |) e9 N5 T+ Z/ D; v: z$ n6 t0 z0 \" W9 h
但是因為folded那級的電流太小,以致於NMOS的drain端遭受很大的暫態. s, n, g2 N/ T+ N
所以我就加入.ic去看該點電壓在何時會導致不穩定,在將folded那一級的電流給加大
# C  _/ _% X' }/ f% z如同書上所講,當Iss=Ip似乎是個比較好的設計,這是我這次學習到的教訓
) x9 [3 S$ }# W7 Z5 }, `0 M5 \0 D+ r) V! m# D
如果覺得小弟哪邊觀念不對,希望大家不吝指正
5 |/ Y0 r4 l/ ~9 ~8 x: [電路設計就是需要被大家教訓一下,才會刻苦銘心$ J( ~$ }8 _6 H. s
以上,謝謝大家

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
18#
發表於 2010-5-27 10:08:46 | 只看該作者
回復 17# Bookert0921 6 z3 p0 d- M$ i
我觉得可能不是这个原因造成的!
19#
發表於 2010-5-27 23:50:01 | 只看該作者
your input command mode rage is 0 to Vdd - (Vsg1+Vdsat5)
' _4 n+ `( Q4 E1 Q9 R) _; M% U+ }output command mode range is Vdsat7 to VDD-Vdsat6- Z) k1 D6 c9 v1 K6 d# y
6 U( F% f; r) |
if this opamp is connected as unit gain buffer,/ f* k7 b$ L+ b& T1 a2 n
then the input & output command mode rage will be the same as vdsat7 to Vdd - (Vsg1+Vdsat5)" V/ _2 v3 \  N; V) N9 C  s& j

! X3 A4 C9 H& Qdon't trust simulation too much !
) w8 {7 t: D2 m/ q3 W4 zIf you really want to design a real world opamp.
20#
 樓主| 發表於 2010-5-28 10:44:52 | 只看該作者
謝謝chungming大的回應
5 ^8 W, s! _2 O$ l* J1 d8 A可以請問一下,考慮上述in/out common mode的情況下+ U. f% i! C' g# _7 p$ X+ f8 b
接成UGB為何在模擬上仍可從follow input的方波從0-VDD
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-29 12:25 AM , Processed in 0.133008 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表