Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 12813|回復: 5
打印 上一主題 下一主題

[問題求助] verilog 寫有限狀態機的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2010-4-28 16:49:05 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位大大
- i9 v. V- C: O" s1 l
' U# ?/ }, U& V! e  l, Y; L7 H: S我使用的是ISE 11.1版,在寫有限狀態機的時候,沒有把所有的狀態寫完,
5 W! Q; n) T- s3 S5 W) x& e) D2 k- b" {
例如,用4bit共16個狀態,我只用到10個狀態,所以就寫10個狀態,3 C  N2 _5 F; s) O

5 Y3 t  m) E1 C1 Z3 g# @最後有加上default,這樣的方式寫RTL simulation 的結果是正確的,
) i0 |3 t8 C' r3 T! I* q1 G$ \# A$ j' X2 [+ J8 x5 r
但是,pose-route simulation 的結果就會有錯誤。
( z3 h, O' r2 }0 s7 G, ]! L
7 y- i% S0 u0 W  c3 y- q不曉得有沒有大大遇到相同的問題,那都是怎麼解決的?
4 e9 x8 h7 u8 }' p$ L; @
6 Q5 n/ \6 t; V1 ]' Z7 `( m2 \麻煩各位大大分享一下
1 M% S7 X' E0 U( g+ y* W7 r# Z4 O# p1 F2 {
謝謝
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2010-5-5 20:45:54 | 只看該作者
哈囉! 我發訊息給你囉!
2 D4 r" K2 a# {; n( M* a9 g- y! t& D3 ], N
需要更多的info. 來幫您解決喔!. `8 c. }8 O, @7 l- @: U2 }

; z( e0 x. O$ A, b6 ]3 o: lrussell0916@gmail.com
3#
發表於 2010-5-10 21:34:09 | 只看該作者
有沒有加上這句呢?
  ~1 E! e+ D) [/ t; L# H& {3 _$ N. R
"synopsys full_case parallel_case"
7 V5 ^: A, e4 l% h+ e7 f, b6 y9 }1 F* l: J6 F
幫助描述你的case status...& W& F; Q3 `. M0 m
: B, `3 T4 t/ i- f+ m
有一篇文章在討論這個:1 X! |0 }; y' ^

1 }" B4 `3 F/ H: g0 R) Wkunalvyas.com/Documents/fullcase%20and%20parallel%20case.pdf
4#
發表於 2010-5-30 22:13:53 | 只看該作者
回復 1# michael6172
, l: h8 v# ?- _% `1 A+ R( [0 ?
& [' E& X4 f: T5 x會這樣通常是RTL model的方式不正確導致合成後產生latch,但沒看到code&你也沒詳細描述是怎樣的錯誤,很難找出問題! c( R: W- B/ \9 ^! d" X

: @9 T. j2 V  L  G" Y. L另外model FSM時,個人習慣分成3個always block:* T" u% j" Z" Y1 Q

7 R% ~9 t% ^, h   1. sequential logic part for state assign) f( T' ]: K( B+ F2 q; Y# c+ u; D
   2. combinational logic part for state transition
( e0 V" ^: ^5 F7 C6 |0 T- g   3. combinational logic part for control signals  t. e- B2 N3 k# p) [
  T* `- v0 `( X! y3 W9 x7 y6 M
這樣做的好處是可以讓合成器確切的合出FSM,另外閱讀時也可以很清楚的掌握狀態的改變和每個狀態所要做的事,debug的時候比較方便,希望對你有幫助,FYI。

評分

參與人數 1Chipcoin +5 收起 理由
tommywgt + 5 These are good points

查看全部評分

5#
發表於 2011-12-29 17:53:20 | 只看該作者
樓上建議都是對的.
0 W# @. I& J9 S但是等project 大了, 或是時間趕, 根本懶得 把 sequential & combinational 分開寫. 4 `% F& [' i: U  n, c
! T; N5 Q& V9 k  C( P! }1 C
總言之, 沒看到code, 無法幫您找問題.
6#
發表於 2012-2-14 16:01:55 | 只看該作者
還是要把code貼出來大家才可以幫你抓問題; t* ~* D8 ?. F2 C
不然這樣的描述太籠統了
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-16 04:52 AM , Processed in 0.111514 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表