Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 8270|回復: 1
打印 上一主題 下一主題

[問題求助] Xilinx ISE Post-sim發生的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-3-20 16:17:04 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位先進好,
! h+ [) t3 J6 Q0 r5 R! J我在使用Xilinx ISE碰到了一些狀況:
# J5 B8 E8 x2 _# u0 T問題一:$ T  ~; x) Y4 P6 _
在使用Synplify合成之後(只有設定freq. constraint),% S4 D/ ^8 g+ X
在跑接下來的implementation的結果,
! l* ]! O7 c# R; j  VP&R的timing的分析,slack都是正的,, G1 m5 \0 Q% |# c/ K
但是P&R的模擬下,在testbench模擬時卻有訊號還是會Violation,
+ ?6 ~. p/ x0 y, q不知道原因為何?因為試了很久還是摸不著頭緒!0 F2 _; h" V* L

+ C& I" C, c/ w" Y  t  d, t( z問題二:$ i/ G1 _- f2 p
同問題一,就是這個module我們包了四個於Top module,% ^0 @- e: E% E# m
其中,四個當中的結果,也許會有一個Violation發生,- w* j$ h  a' H$ t
也許會有一個沒看到Violation但是輸出訊號都是0,; o' H9 T. i% ^; t7 f
也許會有正確的情況。8 j# p( S, q" F- B% R( @. O& q
現在就是沒辦法讓四個同樣的東西同時Post-sim正確,
: h' |8 O6 s) d' d苦惱中呀!
) y/ m# D/ f. y' ?" j$ ~
7 v4 h( Y/ k  p在各位先進百忙之中,感謝你們的回答與經驗指教!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2009-4-5 23:11:49 | 只看該作者

僅供參考

問題一:
/ h1 f% J+ d, F    是否與test bench有關?2 ~3 r! F" t; S8 ~
    P&R timming report跟test bench都是由你提供的, 請檢查一下這二則的設定值) e, e& I* k7 d4 U
問題二:$ n. d$ d# \3 o, o0 @4 @! j
    同問題一" }" m2 Z* t: v: n3 r
. u$ @& n. `- E5 Q; I6 i
當然最好是找跟你配合的FAE討論, 至少可以一起找問題
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-29 03:47 AM , Processed in 0.108007 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表