Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 6458|回復: 1
打印 上一主題 下一主題

[問題求助] verilog 觸發問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-1-5 16:17:57 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
今我欲使 sys_signal 此輸入訊號在正緣時,使 rst_B1 訊號為 High。* Z8 e! m. ^1 {9 r3 H
$ I. {, @  l* x  d* X
正緣過後(即下一個 system clk(50 MHz)),rst_B1 復歸為 Low。
4 N) s* s0 R% P. k& P. p; }! v6 x. J6 j
sys_signal 此訊號為  60 Hz , Duty ratio 為 50 % 的方波
* U& x' G1 C: T2 U- X9 j3 b& V% v/ ^; ?7 Q! H3 a
請問應該如何撰寫此段程式?
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2009-1-9 11:20:02 | 只看該作者
always @(posedge CLK_50M or negedge PORB), D7 T4 I* C  {# v# Y
begin9 r; A2 n6 D& e
  if(!PORB)
# r  ]% ^: |4 K    sys_signal_d1 <= #1 1b'0;! t- {9 C! o* u* m0 ~
  else7 G8 C" m0 g) @; e6 N! U4 v
    sys_signal_d1 <= #1 sys_signal;
$ V9 X' U% D6 H- T3 {end5 n  X9 z: @/ h

7 o9 O# Y$ ?, z& Y- u5 z5 Tassign sys_signal_pul = sys_signal & ! sys_signal_d1;( ?( {$ F/ s  |; v
# B5 \3 S" U3 I" C$ P) W
always @(posedge sys_signal or negedge sys_signal_pul)
- Y$ j) X6 `! W" X3 jbegin
- h8 d- i' y- x% p* u6 T. c: f  if(!sys_signal_pul)6 d) W5 x% D* ~; }
    rst_B1 <= #1 1'b0;
4 Z' g9 E2 F" |* y! K9 }  else
( a! ]6 ]- A1 o& ?6 I/ r: {) e    rst_B1 <= #1 1'b1;
# K: [; A. S+ Y3 C3 jend
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-30 12:46 AM , Processed in 0.112014 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表