Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4515|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led4 N; S: Z$ |9 {  E, N% q
//==================================================//# h+ h% N6 l" N4 y0 z) n4 P
`timescale 1 ns/1 ns
8 v4 Z7 P, @/ u0 g/ g
0 U* c5 ]7 G" U( |  [  module  test_001(
- {$ e1 y' p* s* [& f                   D,
7 D  X" i' ?$ S, h0 z& Y: y2 |! R                   Q,5 w0 I8 B& A; E/ p. L: ^
                   clk,7 N% V5 G0 \) [  X6 I) f# ?$ n
                   reset,( j. l; k* p% H6 q" {; D& a$ f
                   QB. ]/ m$ n* l8 y5 b3 B, k
                   );
8 H. _* y- @$ n. |6 A* j' tinput   reset, clk;
0 t- E3 R3 E9 q* I# J4 E* [input   [3:0] D;! E& d# y  P: |0 T0 e& [
output  [7:0] Q;
- f9 U; i3 z0 P' R1 Routput  [7:0] QB;
1 S) k: v3 _7 {8 q7 V0 F, H; mwire    [7:0] Q;
% i; ?% {5 g' K  [- E* Wwire    [7:0] QB;& [, |0 d: Y( K8 s9 w3 Z" M% V+ c
reg     [7:0] X;3 p- k1 ^: E! @! H) Z8 W
reg     [7:0] a;7 v/ D- |4 Q4 q& E# Z9 l
  g3 @" t. c: O6 q( H' ~
. Q: z/ I# Y/ Q- F6 w
4 I" J0 A$ t4 q# y. A# A
- H, E1 j" r7 e
always@(D)
+ F- m7 K9 v1 m: T: L  begin
3 d8 d8 h9 I4 [$ N3 W- L2 Q5 \; @         case(D). m5 q" k) M0 Y& X5 b% T: Q9 @+ t2 Q
             4'b0000   :  X = 8'b0000_0000;
( ~  u/ e! H  a& F% F' \, C/ |9 y             4'b0001   :  X = 8'b0000_0011;* S" w" M# f- [3 H0 d  ^5 R- f
             4'b0010   :  X = 8'b0000_1100;# `' R7 d. K' t6 _" g
             4'b0100   :  X = 8'b0011_0000;
1 W2 ~0 E6 Z2 v6 }8 k             4'b1000   :  X = 8'b1100_0000;/ q" L0 K$ ?+ o9 D+ z% V
             default   :  X = 8'b1100_0011;
! |& q! R8 Q( b1 b         endcase   
* U" P' }3 ^  ^4 C- P; F! e% {  end            
: ~5 S" H* j+ [6 v7 V! T! p  
" Q. ]- {1 E+ Sassign  Q =   a;
! v2 t) N4 c- Passign  QB = ~a;
! X  x) }8 }/ t& @3 k6 _            
! k, S9 ^1 G; c+ kalways@(posedge clk or negedge reset)4 v) t8 R+ z* c9 q7 s/ k/ J6 ~
  begin( |! t  _" p: q) m4 K
     if(!reset)4 S4 v' b# u) p) }8 c9 s
          a = #1 1'b0;
3 J$ e9 O$ b7 {4 ]- i* D( x2 I4 {     else6 H% z- H+ p) i) ?+ y
          a = #1 X;1 m; p* B/ K# S2 k  J0 |) O4 x
  end                                 
: H% E8 Q3 b/ p6 |0 w0 t   
* p8 ]5 k' ^  a+ B# K  endmodule& ]' q- Q. n$ C, T
//===========================================================//
" ?2 ~1 J# e6 X5 t1 q/ a& w/ ~然後以下是Quartus產生的qsf檔。
8 [- p  N5 z% o" A: M//===========================================================//6 \9 @( I/ @) _+ g" Z9 L
# Copyright (C) 1991-2006 Altera Corporation
- X- o9 {4 D. ?5 d# Your use of Altera Corporation's design tools, logic functions
3 p" a2 B5 c4 l4 H/ |# and other software and tools, and its AMPP partner logic
' C  }" [0 W2 w1 m# functions, and any output files any of the foregoing
( ~6 y6 ?7 d4 T- k7 s0 ?& N# (including device programming or simulation files), and any " M& f' h  V2 b6 A  D( K) H$ t
# associated documentation or information are expressly subject & P0 c  @, p1 u4 e% j
# to the terms and conditions of the Altera Program License 3 H# D2 {; G. t% }4 c1 }3 J
# Subscription Agreement, Altera MegaCore Function License ; O/ @0 \# K( D( Z7 g1 c, |
# Agreement, or other applicable license agreement, including, % n4 |  B7 S9 }% I
# without limitation, that your use is for the sole purpose of 2 p/ s2 n/ c5 r
# programming logic devices manufactured by Altera and sold by
7 E9 p0 N. \! E$ [% p7 p! H' k9 L  }# Altera or its authorized distributors.  Please refer to the
6 c4 _6 z, l0 T( d) D3 {: l$ K3 L# applicable agreement for further details.6 I0 M, p6 ^5 h0 Y" B1 R

! F$ s" u9 K8 r0 O: G- x" s5 Y  [- Q; ~& H% n& n
# The default values for assignments are stored in the file1 n, F! j, D$ d" C
#                test_001_assignment_defaults.qdf
- f2 t: J9 q$ a; X9 y$ F# If this file doesn't exist, and for assignments not listed, see file' m. I" L4 T' I7 F1 h  w. \/ _
#                assignment_defaults.qdf+ T5 j* ?% `( Q7 c, y
, ]8 \4 Z! E8 I/ o* h
# Altera recommends that you do not modify this file. This& A# b" a/ f! |7 H* O! o
# file is updated automatically by the Quartus II software) j& X. u- r8 R/ G  D/ v& n
# and any changes you make may be lost or overwritten.' B- F3 m3 j0 J5 S
2 A- q" f8 c4 X2 U
6 h8 d$ Q0 ]2 O
set_global_assignment -name FAMILY "Cyclone II"
* a: ^& z- J. j+ m' _/ S# L) h, mset_global_assignment -name DEVICE EP2C35F672C6% l; o; C; l! j  H9 l' K
set_global_assignment -name TOP_LEVEL_ENTITY test_001
7 `! R/ l: _! S% C3 Hset_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.08 G1 c: u0 H$ X4 c+ l/ {" w4 l. g
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"% G5 h% z5 Q0 m* g2 a6 `* Y4 l
set_global_assignment -name LAST_QUARTUS_VERSION 6.02 _8 E" @% G/ ]7 U
set_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"
# M5 u. `% O2 m# i# nset_global_assignment -name DEVICE_FILTER_PIN_COUNT 672. @% A8 |$ T. T  ?$ q8 ?
set_global_assignment -name VERILOG_FILE old_test_001.v
4 j. O! u& v& T. ?set_location_assignment PIN_Y11 -to D[0]4 C0 z; ~) C- M4 r4 X
set_location_assignment PIN_AA10 -to D[1]
" e5 N5 c3 A5 O% O5 P' u- J5 pset_location_assignment PIN_AB10 -to D[2]
1 T9 p. z( r6 e5 {8 g: cset_location_assignment PIN_AE6 -to D[3]- M) C+ e2 z' r' z
set_location_assignment PIN_AC10 -to Q[0]; W9 j% D  r2 P( P( M
set_location_assignment PIN_W11 -to Q[1]! \- Z" H# h' w; k
set_location_assignment PIN_W12 -to Q[2]
* O) r4 j) U; b. b1 b* S7 y: Fset_location_assignment PIN_AE8 -to Q[3]
. `' H3 K0 h' g8 T( b/ r# m0 cset_location_assignment PIN_AF8 -to Q[4]
" f' B4 k- a# Z% Jset_location_assignment PIN_AE7 -to Q[5]" S1 r: h% ?0 f; T; D
set_location_assignment PIN_AF7 -to Q[6]" c! l7 Y/ g. ?! L6 r7 v$ U
set_location_assignment PIN_AA11 -to Q[7]  y' `/ o. _0 z5 N! ]4 {1 K
set_global_assignment -name SIGNALTAP_FILE stp1.stp
  M2 _: r* B& Mset_global_assignment -name ENABLE_SIGNALTAP ON
8 p5 P) I- H0 U) Z+ V4 K9 c( Gset_global_assignment -name USE_SIGNALTAP_FILE stp1.stp1 ]. b; ^% ~: J1 G( T5 f. f& G4 H
set_location_assignment PIN_M21 -to reset+ Y& E) [+ J# c0 q, Q- j/ n. c7 T
set_location_assignment PIN_P25 -to clk
8 V1 v, s( @5 p  Y* m; |  C1 D$ yset_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"
4 J" S) P& ?. qset_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis
. j& k; \' @' ]5 G( h5 sset_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis
  v1 f5 q: _3 z3 B: W6 v: C/ w5 Iset_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis( a  i5 J. m, a2 U
//=================================================================================================//4 n& f. f% R- k% I4 [
我的問題是,不知道為何怎麼樣都燒不進kit裡,, h3 t2 _9 G8 {4 f9 G, T& i' H
已經排除並非JTAG跟KIT的問題!
2 K' x/ j; X/ o7 J2 k請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者
( b- m- E2 b! P+ a- O
感謝各位的意見~
0 ~9 `* R! ^; v7 m0 ~9 E, k同樣的描述~6 H( @7 }3 k2 k% Y' ^
我放到altera另外一塊kit→EP2C20F484C8(茂倫)
) h; L0 N6 M$ H4 b" T所得出的結果就是我要的~
, x: _% o  e* ?+ ?" C差別只在PIN的ASSIGN
* N/ D7 L/ q, O9 D  _這樣子可能會認為KIT有問題~
8 e  z3 E4 r; l. e3 t1 d( \1 {所以~( a# A$ V) k; B) ?4 g* F" z6 |
我又重新寫了一個
2 ^3 M, i2 `, W1 [% |; y! y放到altera EP2C35F672C6這塊KIT~+ j6 ~' ~- M3 P+ V) g1 a' S
7 u. N) y; h1 @% X7 {
居然可以動作了~
6 X- G8 C+ p6 ~5 _) n( D8 c  T以下就是這段硬體的VERILOG HDL
" p8 f- @' X1 V4 k- e, g`timescale 1 ns/1 ns6 A: ]8 R- R7 ^/ v; E
module chip_top (
% o/ ~. p- c- h" l                  clk,* g2 k1 k5 D& o- {; f- I; L
                  rst_b,
0 [; M! p1 w) G2 Q  [6 [                  cnt,1 X4 a' N5 a" z" w( C6 z$ z# V
                  seg,  
0 F/ ^- H  b: b                  a,
+ G; P/ H6 ?; c9 U; n3 q: i/ r                  sel,' r) x, G% Y3 D4 n# K7 h$ E+ I
                  seg_u9,    & {  j$ w. W" M1 f9 X" E5 F
                  rst,. N: Q& e' J. x
                  clock,
+ L' g9 P1 @" E( p                 );
' D8 \2 |$ i8 p9 j  Z  
  }0 x' P4 Y! Q9 F* {3 @( M# P   # M/ t  M% l1 f1 e/ g3 F9 o. N" R
  input  clk;5 Z+ C6 U( @* {0 W, P
  input  rst_b;) {* d2 l! d+ F  {! M5 W
  input  a, sel, rst, clock;
9 v! M0 c% s- n5 R$ X  output [7:0] cnt;
" M. P' \+ z; p: u  output [7:0] seg;3 C5 I. v+ C) x0 `: j, t
  output [7:0] seg_u9;
- [' ?2 ?% q! Y, J3 q! z1 g  reg    [7:0] cnt;# S6 Q% U/ d& U7 N; h" a$ y
  reg    [7:0] seg;4 r& f  a4 v  N- l( j* X4 C+ P
  reg    [7:0] seg_u9;
6 E7 L- y; ]4 G& d, q  T1 j; M  reg    [40:0] clk_cnt;
4 Q* F4 O8 ~4 a( h* H8 `  reg    [40:0] clk_seg;
7 L: E& M+ V9 T1 _; p! |  reg    [40:0] clk_seg_u9;
2 o) m6 i) ?( d. R* g3 p5 y    wire   clk_cnt_end = clk_cnt[20];$ X( Q' ], a- G2 _1 o4 Y
   wire   clk_seg_end = clk_seg[20];
3 i2 N0 n! F* [  wire   clk_seg_u9_end = clk_seg_u9[20];; r, V$ _5 i9 T! }
  
1 ?8 e! b3 B( O3 B1 p  9 W$ D( x/ K0 O: R8 k% Y7 S2 n. R" ?
//---------------------------------------------------------------& C; j( i7 G0 i8 m; i
  always @(posedge clk or negedge rst_b)+ h. U( G+ v3 _/ r- Q+ W/ w
    if (!rst_b) / R0 o' w1 S$ j. C
              clk_cnt <= 0;
" ]% Q" [+ `! T+ u) P    else  
2 U3 m4 B7 ~$ \             clk_cnt <= clk_cnt + 1;
. b- V( ]) e" ^% q
2 W6 q* u! ?' n8 H3 m" D( f  always @(posedge clk_cnt_end or negedge rst_b)
& |" y; d( f9 d2 I    if (!rst_b) / n4 N$ C3 q, i0 Z
              cnt <= 0;4 w$ U. E$ C5 |' l  ^3 \
    else 8 l* I+ [! ^1 m# H
              cnt <= cnt + 1;6 X% S8 c" L8 a) J1 ~# ~' b# `

2 T2 x6 w* t4 q- k7 v2 Q# z, ]//---------------------------------------------------------------
2 r* ?' T0 G" t: l
! |- U" |+ @- ?( v4 ^( _8 Z- I+ b$ R; I  \4 x( }
// always @(posedge clk or negedge rst_b)/ Y* ^! i0 H$ p- K" O* Z
//   if (!rst_b)/ l1 c" {# X3 W/ D4 f% J
//               clk_seg <= 0;1 N0 n9 x0 Z6 c! G0 D, x& w0 w
//   else
# E2 K! \. _" r( V: i//               clk_seg <= clk_seg + 1;
1 H+ p: ]  j/ G  R7 r( P' ~9 D/ \3 N; {
// always @(posedge clk_seg_end or negedge rst_b)
& G' ~- \( j" ^5 }6 e; G' j! n//   if (!rst_b)
! d# B) T2 ~2 \( ]3 ]. h//              seg <= 0;, ?5 ^+ a( c+ @
//   else  R. p% b: D/ h. i0 a
//              seg <= seg + 1;
  k$ ?6 c5 j# \$ x$ k( K//---------------------------------------------------------------     ( G3 x! j1 n4 t: |1 }
//===============================================================           + z% B% ~2 A4 N- d
//  always @(posedge clk or negedge rst_b). \/ s# o4 T2 ~% y1 k
//    if (!rst_b)
0 o+ B' h* n3 t8 F//                clk_seg_u9 <= 0;
& j! y% S. w& i& r- O0 ]//    else 7 V/ @# ^8 n$ O5 g: l, f
//                clk_seg_u9 <= clk_seg_u9 + 1;! {+ L3 G) T* @  Y8 _+ U5 B9 _

# X# s3 h! o: K& C1 Y7 U% @//  always @(posedge clk_seg_u9_end or negedge rst_b)5 c) e% E: f5 C3 V5 r
//    if (!rst_b) " D9 X2 ^! D0 d2 H6 v
//                seg_u9 <= 0;
6 b2 |: B6 i" G//    else
% D7 N( J, ^! u' y9 q# b1 H# V% p; u) w//                seg_u9 <= seg_u9 + 1;            
1 k; P( ~' d8 O& e& }//===============================================================     - C- h& Z! B9 ?- K/ W* |
    always@(sel or a)2 B; {/ m( d0 {
   begin
+ _5 M5 T5 F$ o1 _: X          if  (sel == 1'b1)4 b# r5 L1 G% G  S! N9 [
               seg_u9 = 8'b0111_1001;              
" Y: Z$ E2 [% o  k! C    end     8 k2 W6 l1 j* Q( {2 |0 T9 b; Q
     always@(sel or a)' d+ M' P' @; S
   begin
$ E: s# @) n- V" n; {5 R9 L7 Q, W          if  (sel == 1'b1)' x! u9 S$ m" }& o
              seg = 8'b0010_0100;              
# `0 Y/ X; g  P/ P    end  
1 D  U, h7 t: e8 v//===============================================================2 R, T! L% }4 S: Z! i
      
& w0 Q% P  P& T) D9 Dendmodule
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟
; E! J0 Z9 y$ I* m7 S4 l$ d% W) U. K& z上面signaltap2跟in-system memory content editor不要亂開, , y/ ~" |& T  L% i
特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面
4 {+ E# S' B1 v) j: F發展板的manual要K完, 有沒特別的jumper要設mode?3 n( b$ Z2 b1 y0 q
另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,
) ]$ ]/ _" l4 k  ]1 j那就是你的設計的問題,5 x3 b' p2 j) N  D, a' m" w9 i/ P
這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!' b$ g& f, ~& t( I/ J
9 A/ r, P# |1 ^% E- L+ O$ v  {3 l

  s- V: q* Y9 _2 a
1 E# F: d, C0 x1 m7 C! n1 `/ E5 T7 Q+ n; X& Q+ P

+ f% `3 u, Y  u- A
" Q- U3 Z( H" S: d3 U+ \* B. c5 e; R  d' X1 O, o- h! n

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧  e: _# f% q3 e. n2 A" L+ x
7 C* k% o% R0 N, q% ?
看看文件中的設定有沒有遺漏的部份
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?0 o% X. S- x, Z$ b* J( X" u
導致電路不動...
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者

0 v9 l" ~' W2 A. @# A7 V" b1 M8 D8 |7 F+ o5 f- H
這是program的畫面
! u# l% V2 G4 u- f% r  L& ?' b: L5 n- M# t* c+ @, s
0 |' E, i3 p; L( h
8 J& C. G/ ~. y0 U
這是assignment pin的畫面
  B4 Q" c7 g( Z4 n- N+ ?, }
2 a/ N8 c$ u. Y$ [: I3 W
+ d6 ^, j! T* }- {) [
5 c& |; F7 Q& T& n這是燒錄下載到kit的畫面
/ e7 P. l* X. ?- f6 M) S* a2 ?$ m) V  |& a% t) O0 t# o" h7 L  S( t
####################################################/ S. p# u2 N! P% A0 F8 c( E1 w
到這都很順利,
) W7 E, U$ n5 R  i% X$ ]. X# O1 o" `但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??( `; N1 O7 E+ M
不然把program的畫面抓下來看看..
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者
6 Z! @* |/ p! B2 ~! N. m3 N/ C! |
只有WARNING7 T  ]8 O  y  @  ~& Y" d
沒有ERROR" s( K+ y" y' l9 u
這就是我感到奇怪的地方
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-14 10:02 AM , Processed in 0.163021 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表