Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 6814|回復: 7
打印 上一主題 下一主題

brief for Cortex-M0/M3 Logical Validation Implementation

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2014-3-7 09:46:30 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
├─doc
+ o/ |) V, H# j3 V' C2 M│  ├─Cortex-M0_TechnicalReferenceManual_Frame5 K; d* i* n8 Q
│  │  └─graphics& I8 i' b4 }& z& N: T
│  ├─Cortex-M0_UserGuideReferenceMaterial_Frame
+ S& G- T, Q0 b$ N6 j/ z1 G│  │  └─graphics
1 t+ e! p' b# S8 @8 q; A│  └─Cortex-M0_UserGuideReferenceMaterial_XML1 @7 V3 ?$ \. Z, c  c' `
│      └─graphics. w% ~% g% }4 ~
├─implementation9 ?" l4 f, M9 ]# I/ j
│  └─vectors6 {9 x3 l/ q# S( Q/ g
│      ├─CORTEXM0IMP
* k8 j7 t. I2 ~, w0 m* J1 u9 N│      │  ├─crf
( _+ i; n# {: t( S' ~& v│      │  ├─srpg
5 [- f4 B" }  C; |│      │  └─tbench2 J1 r5 x# t* K. g$ r0 C
│      │      └─logs  ^" b6 l4 ^" I3 z
│      ├─CORTEXM0INTEGRATIONIMP
9 F  [" w" v. W' X! [, X│      │  ├─crf
9 f$ _0 y& n+ V. |0 S│      │  ├─srpg
' V! U4 `3 l! x/ c7 N( K! e0 \│      │  └─tbench+ D! I7 ~5 u1 R+ g
│      │      └─logs
- u* b% j! ]7 c: W│      └─tools
0 Q5 V; F( l! E- V│          └─VerilogCrf
! a% M4 D4 k! F# a+ }├─integration_kit
/ S6 N7 Z# e1 L1 ~3 N# k- ]│  ├─logical
7 A; ~( T6 e2 d- ]8 B0 X' C9 A│  │  ├─cm0ikmcu
  a, t! T+ j4 V9 ]4 t/ Z# P│  │  │  └─verilog7 N9 |5 D" i! R" S" E4 E7 _, \5 y
│  │  └─tbench# A: w, x9 j9 ]$ P5 R
│  │      └─verilog
4 V7 E5 D1 j+ I" H4 @5 w│  └─validation3 J8 _4 x( W( B) Z0 E8 i
│      ├─glogs
7 D' U  P8 G7 u# G4 a- O│      ├─logs  u4 a; ]  [8 p6 A" ]
│      ├─mdk0 D, ]  u; N( H( [& [) g
│      ├─srpg
& W& j) B* @. T& f  X# L│      ├─tests) }* s  Z; G' ^- A9 P
│      │  └─CMSIS$ g& f; e6 y; `8 j
│      │      └─Core! E6 G8 o# t: O
│      │          ├─CM0
! H, P* N% J* s1 d* f+ h3 a│      │          └─Documentation
" L9 A7 n2 \( I│      └─vectors
6 V+ [8 P' R. [5 m├─ipxact% c  m& [# j1 A3 T$ c' Q
│  ├─busdefs
$ `2 ^0 r7 |, h# L│  │  ├─amba.com: p: @: Z4 N; |$ R7 M5 Z, m1 ]
│  │  │  └─AMBA3
$ H/ f) y3 [0 [/ m+ D# w│  │  └─arm.com2 b7 N! g% x; N/ q' @
│  │      ├─CoreSight
8 w& \' q* ]. d4 m3 K, K6 j│  │      ├─Cortex-M0) I) h1 v# X7 G4 j  P
│  │      └─CortexMCores
. b* A; Q4 ~7 S. A. \1 H│  ├─channels; x  |; `4 m, y2 u2 H
│  │  └─arm.com  z, ]+ }* e& A
│  │      └─Cortex-M01 ]2 l/ X; T& c1 ~3 k
│  │          └─rtl
' k. A' h, p& D$ p9 R/ X8 m( Z+ g│  └─components' `& q1 d2 d" l$ d! m8 N  l
│      └─arm.com
/ Z' ]& y* Y& H, U8 [│          └─Cortex-M0( j+ R& _: E* S+ J9 \- D
└─logical
% z3 J' N4 o/ ]0 l' ^    ├─cortexm07 F5 D) M6 g' K" q
    │  └─verilog4 m& S2 e( s- M3 @
    ├─cortexm0_dap
+ J; r9 u8 b' W    │  └─verilog( c3 O6 [) ^: a0 j
    ├─cortexm0_integration
- H: @( s9 t0 H9 ?    │  └─verilog
+ X" @) [/ m0 f5 Z6 J  e    ├─models
2 _6 s0 J" ?- A' I5 L$ {6 _    │  ├─cells
. s9 j$ ?1 j( n' a    │  ├─cpf5 B1 C$ I' e$ Y3 {' b1 N  k4 a
    │  ├─upf
2 N) i% U) d, ~" T' y# {    │  └─wrappers7 E* ]$ z$ X0 _5 \5 k) Y4 U
    └─ualdis
: }/ q; C. _! s4 n/ Q3 h        └─verilog. i/ q1 |. Q, Z, r0 Y8 W
遊客,如果您要查看本帖隱藏內容請回復

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂3 踩 分享分享
8#
發表於 2018-10-22 17:23:21 | 只看該作者
感谢分享!不知道是不是有用; _: v4 W% r$ B# R- y) p( a) c
7#
發表於 2015-8-7 13:47:30 | 只看該作者
The Future for the IOT, M0 and M3 will dominate the market.: A2 e. P  H9 e7 |) I- K7 j% R
6#
發表於 2015-8-3 23:31:37 | 只看該作者
thanks~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~``````7 H9 a+ R6 {% Q+ Z4 j6 U1 K
5#
發表於 2015-8-3 23:25:47 | 只看該作者
Chip123 科技應用創新平台
3#
發表於 2014-11-11 14:41:26 | 只看該作者
回復 2# sinoicboy
# B  E+ g/ d6 \8 _' c% a- l4 Y8 Q  B5 i* X6 }

8 S! ]5 j$ F3 k5 Q) T    thanks~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~``````
2#
 樓主| 發表於 2014-3-7 09:47:01 | 只看該作者
├─AT420-DA-03001-r2p0-00rel0% w% n/ b, O, }6 y/ g) u- }
│  └─docs
6 B* b; ]4 J5 A& V! ?│          DDI0337G_cortex_m3_r2p0_trm.pdf
: P1 \; [, O2 @# _1 t+ r│         
! B0 Q+ a# g/ Z├─AT420-DC-02008-r2p0-00rel01 C( b' L5 p& m* ]- F
│  └─docs. g4 D' ^) G2 w% d$ e1 O& W
│          DII0194A_cortex_m3_r2p0_csg.pdf
3 K/ ], Z. x" A& Z  w# K│         
5 L! V- P8 U2 p8 ^; g; i" \6 Z- l. x├─AT420-DC-13001-r2p0-00rel0
! ]; d+ p' Y* k% I5 a7 V4 r│  └─docs. `: y" B% [* n# M$ b% e8 N8 s

7 ~+ z6 f+ V6 |) e, ~│  │  │          CM3CodeMux.v# i+ `9 s' l7 [" v3 t" X
│  │  │          CM3flashmux.v- {' x' L+ C/ h9 J
│  │  │          CM3ROMTable.v! U$ {/ P5 \& b; v$ c  N
│  │  │          CortexM3Integration.v
8 W0 Z. |! E* }: Z0 T│  │  │         
% E; s' d3 p% Y- k│  │  ├─dapswjdp
& x8 \  i/ _) E( e" V, W│  │  │  │  README_DAPSWJDP
  W2 f7 {1 F  O│  │  │  │  : Q+ }0 l0 f6 U" c- x
│  │  │  └─verilog
6 i# A' z2 p+ z" P9 l- |* B: |│  │  │          DAPDpApbDefs.v
, h' V  E% Q+ U  k+ K│  │  │          DAPDpApbIfClamp.v
$ e  R" ]% l, ?, A/ g│  │  │          DAPDpApbSync.v6 A' q" I" F' s% \5 L
│  │  │          DAPDpClamp0.v
% j4 R$ e# H3 e│  │  │          DAPDpEnSync.v
6 a$ o( `4 e* K5 K  _( d8 `. _│  │  │          DAPDpIMux.v
' S; A) C/ t& j" I# }! i, g4 k5 t│  │  │          DAPDpSync.v
' r5 a8 P. F- M* V4 X3 \│  │  │          DAPJtagDpDefs.v
3 E; G9 O3 J; e/ _│  │  │          DAPJtagDpProtocol.v
. h4 I  G. l4 h1 [" Z6 p" K8 \│  │  │          DAPSwDpApbIf.v
1 i. U  C8 J8 a8 u│  │  │          DAPSwDpDefs.v
4 o5 \) l% P- k: @# q│  │  │          DAPSwDpProtocol.v* D, Y# w0 \1 E& N$ u
│  │  │          DAPSwDpSync.v
1 r9 \0 U% S  D9 `' _0 M6 {0 u│  │  │          DAPSWJDP.v1 I6 g3 A4 H% J
│  │  │          DAPSwjDpDefs.v
" q! g1 `1 I; R' k│  │  │          DAPSwjWatcher.v
& h1 c% t/ E4 I│  │  │         
2 T. k$ ]8 D) L# }0 W; Q│  │  ├─models
3 E& S5 G% ^5 i9 u7 q5 B- \( i│  │  │  └─cells# O! d% ^' W6 Z( }! b: Q* _  {( s/ o
│  │  │          CM3ClkGate.v* N. q2 j# v7 K) o' F# z  i
│  │  │          CM3EtmClkGate.v) c: w4 `# W8 J; J4 u
│  │  │          CM3Sync.v# q" W0 p1 f3 f
│  │  │          & N8 I$ J9 f! i1 L+ E" @: |" G
│  │  └─tbench3 R9 F3 O( S+ l1 q& X5 C. ]) ^
│  │      └─verilog
" B; W7 n% {: ^" S│  │              AhbToApb.v. f& B. g* i0 w( v1 J% t
│  │              AtbLogger.v1 h2 M7 D, O; d5 W9 C
│  │              BusMatrix.v- a9 q' ]8 F! Y1 y# u" y
│  │              ClkReset.v1 `( B/ t5 y  V7 Z1 @
│  │              CM3BusComparator.v5 l' w, B. {$ v
│  │              CM3BusGasket.v
* Q6 l  E9 W7 q% E& s/ i│  │              CM3TestExAcMnAhb.v1 v; D9 z" A/ _$ O
│  │              CM3ValAHBSplit.v; d( ^& o% l) m9 W/ z9 n. [
│  │              CM3ValApbTrickBox.v
' L6 V& \3 f; ^9 S( ]3 P$ B1 B: z│  │              CM3ValBusCompareCtrlReg.v
/ a, a$ z' e4 T! ~/ I* e1 O│  │              CM3ValControl.v
: q1 b, {/ u7 X* I0 z: f6 K: Y│  │              CM3ValDebugCore.v
6 d  T* `/ v) N% P" A( t│  │              CM3ValDualPortRAM.v
7 [) Z* H: L& i+ g3 l│  │              CM3ValDualPortWrapper.v
9 g' u2 ?0 c2 `7 {4 j+ @4 g# G3 [3 _│  │              CM3ValETMTrickBox.v
9 y+ Y. t2 @* X9 H' W0 S1 i# G5 J│  │              CM3ValHTMTrickBox.v
. t7 ?; V! D6 O  `6 _6 S│  │              CM3ValIRQGenerator1.v5 ?+ j1 ~  [. L0 s+ o& y
│  │              CM3ValIRQGenerator2.v
. K9 z* }& `- C8 k3 @# A. u│  │              CM3ValJtagTrickBox.v$ V' Z: E" F; k8 W9 m: g4 r. @
│  │              CM3ValMemory.v
6 f$ p& Z8 I5 o0 y$ c! z( `/ `│  │              CM3ValPMU.v* r# U) c% Z! N; Z( f
│  │              CM3ValRAM.v
: g' c" w0 H9 g6 v/ a) u+ u: S$ Q3 y│  │              CM3ValRAMWrapper.v* b6 Z  T' f; U6 @
│  │              CM3ValScratchPad.v
/ J4 z2 @2 |& B3 e│  │              CM3ValSWCapture.v
6 u/ H& S( [: o7 D+ ]* d/ d8 K│  │              CM3ValTBDefs.v; H: {8 \8 u6 |1 G2 O, s% z" x# K
│  │              CM3ValTraceOutput.v' \( [5 t* T$ B) D  [# j
│  │              CM3ValTraceSync.v
3 d. f. J( m4 s" K9 G/ q/ u% ^  E) }, ]
│      │  │  exclude_list.sc_waitstate
$ o+ L( |1 E  J. |( L9 e
3 g0 G$ f/ s. C; E            │  └─fe_tsmc090g_sc-adv_v10_2007q4v2; N. H: j; {5 U; C+ B; c' Q" u
            │      │  scadv_tsmc_cln90g_rvt_ff_1p1v_m40c.cdB
( d8 \  `8 G  L            │      │  scadv_tsmc_cln90g_rvt_ss_0p9v_125c.cdB  ~. r( e4 I7 f( @
            │      │  scadv_tsmc_cln90g_rvt_tt_1p0v_25c.cdB9 }+ F% u( B, ~4 I! w% B8 f. P! t
            │      │  * k$ L6 W, M* P& k* W+ B( {6 O
            │      └─scadv_tsmc_cln90g_rvt_tt_1p0v_25c_dv.cl
1 Q" N: H) ^  U3 C            │              cells_1.geo2 `! ~& V* |7 R: p# _
            │              cells_1.pwr/ T% f4 ]6 D; f4 ^$ s( G" {# c
            │              index.cli
' @1 q) Y1 O1 H- e5 W7 c            │              README.TXT
) @8 g* P$ n7 g: [9 R3 {            │              rulesets
4 J+ }: I( Y; T) ]            │              VERSION.TXT/ M  E/ r& T; R' d
            │              vias_1.geo
1 [& J: S" [+ b4 @            │              
' Q1 H# i/ A! z6 i            └─tsmc6 H  e% H4 }& @4 B7 x5 m, `
                └─t-n90-lo-sp-002-f1_1_6a_200609143 `- t5 m" _$ }) \% u) Y
                    └─6X2Z
. t3 n( d9 o5 N5 `+ A                            corner.defs
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-3 05:31 PM , Processed in 0.138517 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表