Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 8282|回復: 1
打印 上一主題 下一主題

[問題求助] Xilinx ISE Post-sim發生的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-3-20 16:17:04 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
各位先進好,
. v  `2 n2 R2 {我在使用Xilinx ISE碰到了一些狀況:
* e/ g2 M+ x4 D; J( n% Z問題一:4 L4 u: C0 r7 i8 K$ f
在使用Synplify合成之後(只有設定freq. constraint),$ x' E' c; R  ~
在跑接下來的implementation的結果,$ V5 m- k; o& i
P&R的timing的分析,slack都是正的,
0 \) \2 y# }0 v但是P&R的模擬下,在testbench模擬時卻有訊號還是會Violation,
+ Q( ^5 f' f6 k$ Y不知道原因為何?因為試了很久還是摸不著頭緒!: P- p: f, I9 [+ }

! ^- O! j! I3 K5 G' z問題二:
* V& m6 S- W$ J9 y1 J% ]# D7 _同問題一,就是這個module我們包了四個於Top module,; K. M4 ^# s3 P/ j6 O
其中,四個當中的結果,也許會有一個Violation發生,
5 O+ D$ X4 P- V& L2 v也許會有一個沒看到Violation但是輸出訊號都是0,
* B3 X. \5 f$ x也許會有正確的情況。7 d; Q, d6 D# ?3 r  R
現在就是沒辦法讓四個同樣的東西同時Post-sim正確,! J3 B# J3 G) i( Z! _
苦惱中呀!$ m% c* T9 F8 P0 [

& i* C) n, ?* n) v' i在各位先進百忙之中,感謝你們的回答與經驗指教!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2009-4-5 23:11:49 | 只看該作者

僅供參考

問題一:
- G" P0 c. m# F6 C9 L    是否與test bench有關?
! \( {3 f( W! H6 F# V    P&R timming report跟test bench都是由你提供的, 請檢查一下這二則的設定值) {  u" X/ K  R7 ]- S" _9 o
問題二:: Y! f1 b7 v! t
    同問題一2 V3 F9 F/ y4 s$ `! K/ k! a
1 c6 a; m; d$ w2 f; W) z- U+ o4 ~
當然最好是找跟你配合的FAE討論, 至少可以一起找問題
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-30 01:23 PM , Processed in 0.120016 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表