Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10583|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上
; B$ N! q" K3 mverilog中讀取BMP檔) Y; b& }4 o5 l9 O0 T
程式碼如下:
  1. module test;
    5 M. ?3 a0 q8 }0 X" X  U2 C
  2.   integer fileId, i, cc;" }% x5 l9 w, H8 g  |+ L" M
  3.   reg [7:0]  bmp_data [0:2000000];% _) u! ^( S& g+ I  ?; l2 l: _* k7 P2 ?
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;" s, o; A9 K0 `  X2 q

  5. + [" x. ^( a& d  n
  6.   initial begin! d- @3 I/ M& \3 f# s) y: T4 j4 m
  7.     fileId = $fopen("gray.bmp","rb");) p0 F) S' j0 g
  8.     cc = $fread(bmp_data, fileId);
    - e" x' p/ q& o0 ]( D6 w
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};8 [- l. l5 j! j2 s# _( U, u
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};, @4 h( I$ I2 n6 y9 p: n
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};
    # x1 c/ G" V& _7 K
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};
    * [$ i6 ]8 A4 ?# F3 F/ {3 r8 T2 F
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin
    / r; Z, ]6 \( G8 g; w" g
  14.       $display("%h",bmp_data[i]);& W) E: D0 s+ {1 a7 B$ A
  15.     end3 V8 a9 Y: ]6 C& q  ^$ x, \9 q# D5 Q
  16.     $fclose(fileId);
    + ~3 W  [- v. ]: w! i, i# x; j+ y
  17.   end" A- P* z9 y" }; E/ T! y4 o
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!; R9 n7 L- I1 q9 r) ~% `
學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-14 08:20 AM , Processed in 0.124516 second(s), 22 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表