Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4514|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led% k- f, w: o1 i1 s' ?
//==================================================//% q8 |1 V2 `$ u9 P9 a- e
`timescale 1 ns/1 ns
. E- D0 u. g, o4 U* L1 h8 m
4 }; h# n' L0 d0 I" u* g6 l$ ?  module  test_001(# n; S$ ~! @) Z( k, @* d! j
                   D,6 {5 }8 I( Z) T9 `
                   Q,& @" C6 n+ E8 n
                   clk,
+ V4 ]0 l1 |. W7 R9 s9 V5 T                   reset,
4 s. F& X  q- F; Z                   QB
8 x5 g5 u3 y* U0 F9 ~0 s1 ?1 ?                   );( |9 M& w! `1 f. G
input   reset, clk;: N2 y. b. V9 N
input   [3:0] D;
  h- A1 K# Z1 k& u+ I( @output  [7:0] Q;
! [+ {$ S0 Z  M; I- l8 \output  [7:0] QB;
* U4 P/ c" Z2 x' Pwire    [7:0] Q;' o0 u" Z+ `( F2 {. O1 r
wire    [7:0] QB;
" _# K3 t. N* treg     [7:0] X;
( i9 S& R9 c" U2 y% [/ ~reg     [7:0] a;
  r% ~2 g7 E: A4 x7 x5 F
1 w, W! f& V$ D$ n1 P: a' T; N* q* E. }: b1 @& @- W
! a5 u# k& D0 n. m' z! I6 K; T
! O; C3 G) u4 C" c6 y
always@(D)7 i2 _& i: s, ?; R& e9 j1 C
  begin& \. Y; l) O/ B( Y0 N
         case(D)
4 |- d2 J0 ]6 n) b             4'b0000   :  X = 8'b0000_0000;3 A( l& {$ f' i0 P1 }
             4'b0001   :  X = 8'b0000_0011;
3 C9 b0 ~$ k# V! y- b' W/ V' L             4'b0010   :  X = 8'b0000_1100;& H, L% z- d' U& {% a3 ~
             4'b0100   :  X = 8'b0011_0000;; h7 n7 w, W+ L* ]9 e# |
             4'b1000   :  X = 8'b1100_0000;
9 f3 O# k, j/ T4 |             default   :  X = 8'b1100_0011;7 j( S$ N9 t; n( m' r; L! f: a* ~
         endcase    6 i+ w$ }/ m! v! K% q. v
  end            
! `% Q$ _- I# Q' y  
  G) q# m% \+ m! ^6 c% `( g( Nassign  Q =   a;2 d5 t5 i: J# L2 X3 v
assign  QB = ~a;9 ?  u4 {) a6 z0 N, o# B) i6 Q
             / v, r0 z$ w6 t; _
always@(posedge clk or negedge reset)- q8 L% \5 K1 S5 w
  begin" _7 s0 n4 \# W+ C
     if(!reset): ^' P) U" @- ~1 [8 z8 S- N
          a = #1 1'b0;
" `/ {6 k3 V0 J' a     else
* ^" v! v8 J3 s; z6 s          a = #1 X;
' ^+ l! Z1 H0 W3 j: G' }9 C  end                                 
# P( n# g$ N% u- r% A4 s8 u9 T   
$ m2 H6 Y  F" q4 j( {  endmodule; i+ O4 \8 F# m! C; l
//===========================================================//" u( C' e7 [: G
然後以下是Quartus產生的qsf檔。
. y6 d) x. Y% M) A4 ?( l2 q//===========================================================//
# f4 k. l& c& j* q# Copyright (C) 1991-2006 Altera Corporation
: j$ y; M- R% K1 e9 k  z- i) R* k# Your use of Altera Corporation's design tools, logic functions - W( D5 S0 F  n( ?! T$ u
# and other software and tools, and its AMPP partner logic 8 m& P1 M2 R3 W/ I8 |
# functions, and any output files any of the foregoing
' d: [" b& l8 L! |2 v% O0 I# (including device programming or simulation files), and any / q3 ?* m& p/ I" O0 W# u
# associated documentation or information are expressly subject # p# Z& p9 K. r; Q8 F0 J* _
# to the terms and conditions of the Altera Program License ! n  F( H  x1 p) k
# Subscription Agreement, Altera MegaCore Function License / E) b/ b3 q! H$ h; b
# Agreement, or other applicable license agreement, including,
* X; S# U. }' g) y  v% k3 [# without limitation, that your use is for the sole purpose of ( e7 A/ F2 P4 r& E! [3 I
# programming logic devices manufactured by Altera and sold by
& K, H' G$ I0 q. s3 R# Altera or its authorized distributors.  Please refer to the 0 S  ^* L5 e, A$ y
# applicable agreement for further details.
% J8 \& O, m% u% r1 S
& p4 r  x: I5 \' S1 \. K* ~/ u% j( k# m! l9 p
# The default values for assignments are stored in the file4 @/ k; ], I( y/ `7 @
#                test_001_assignment_defaults.qdf3 ~- T- |; o7 p. Y9 C$ `8 d
# If this file doesn't exist, and for assignments not listed, see file
+ P4 [* _* n) X0 v#                assignment_defaults.qdf4 V1 Y; B/ I+ c; K0 x2 J
0 W3 s, ^# a3 o% K& x
# Altera recommends that you do not modify this file. This
! `; y1 Z! ^6 F+ x# file is updated automatically by the Quartus II software
# Q/ H( d( l; M3 Y3 G3 j# and any changes you make may be lost or overwritten., H+ s0 e6 N8 L, @

! e! I7 s& U# |- k
8 s1 A% Z* t" }* A$ x" ~" Lset_global_assignment -name FAMILY "Cyclone II"* A# c  E# B4 V- a* r' B
set_global_assignment -name DEVICE EP2C35F672C6
% z$ D3 ?7 ~- _set_global_assignment -name TOP_LEVEL_ENTITY test_001
+ y  U3 I: b/ J/ D9 [2 `/ Sset_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
4 x% x2 _: O1 ^" D: \# ^set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"
0 y( f  @4 n  \8 V: iset_global_assignment -name LAST_QUARTUS_VERSION 6.0
7 Y/ a+ ~- z* d9 U0 _0 D( i) Eset_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"5 y% C1 j6 J& J
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672, b# o( R; p- K: n3 o& N
set_global_assignment -name VERILOG_FILE old_test_001.v
- d' ~6 G. A4 Q5 kset_location_assignment PIN_Y11 -to D[0]
8 V* p4 _7 {3 ]set_location_assignment PIN_AA10 -to D[1]) ?2 a  f  U1 n0 [
set_location_assignment PIN_AB10 -to D[2]4 l1 ~3 t* G. ?, Z$ X9 A% P' d
set_location_assignment PIN_AE6 -to D[3]) Y  o: u: P5 b9 H" P
set_location_assignment PIN_AC10 -to Q[0]5 ]# o! X- y0 h9 O
set_location_assignment PIN_W11 -to Q[1]
0 Z2 l% @, q$ s2 `set_location_assignment PIN_W12 -to Q[2]* T; G' N, ~& o2 c% N
set_location_assignment PIN_AE8 -to Q[3]
. p2 i4 A  ^3 r* u1 T( Lset_location_assignment PIN_AF8 -to Q[4]
, f- N& O5 [! T% F% _set_location_assignment PIN_AE7 -to Q[5]. y$ m/ `9 ?" M4 K$ g
set_location_assignment PIN_AF7 -to Q[6]" X, ^0 F5 _" p2 B
set_location_assignment PIN_AA11 -to Q[7]: |3 ~. \9 a/ [- c% {9 Q, u' c4 d
set_global_assignment -name SIGNALTAP_FILE stp1.stp) ]; {+ w# m, [. ?* O8 x/ k
set_global_assignment -name ENABLE_SIGNALTAP ON2 g5 m0 p# d+ M4 }4 V! u) J
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
- t6 p* R% R3 z9 m, bset_location_assignment PIN_M21 -to reset
$ W9 q$ ^* S6 C" c+ C# o+ G" hset_location_assignment PIN_P25 -to clk6 o! K9 S( y1 ]2 y$ u. v$ ^
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"% W) |8 z, o5 `5 I) F
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis! A4 t) F; [* g4 }; o* y/ n
set_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis& t4 n: x. W( J: }- j
set_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis
5 e0 D4 N$ n3 R) a/ z//=================================================================================================//
2 x% \7 [7 C5 j+ Q我的問題是,不知道為何怎麼樣都燒不進kit裡,
& O, j3 j: S9 g5 W* [4 m: J已經排除並非JTAG跟KIT的問題!( _+ k1 C! F8 V) O
請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者

6 v& J; ]7 j) Y3 l3 ^" _只有WARNING+ B" I  s) M8 ~! j6 j, L
沒有ERROR* S/ y( `; U- d8 H! y! Z$ N1 ^! A
這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??* C7 x/ a# w% N9 j. f
不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者

! V7 k5 v( C" W/ d
4 ]* \( o- f/ x這是program的畫面$ X! v. `4 y* ~
% q0 p. O/ o4 I7 f( k; t

* ]: Z7 H) W* R2 o1 d1 p5 Y! ]! l$ _* H  `/ m  P1 T6 r; C. O
這是assignment pin的畫面
" Y  s3 f3 V9 ^
" M( z; L9 @5 a7 ^+ o" U
1 E  ^' x3 i; d7 _  z& A6 {
1 N( K; A, t- @# W這是燒錄下載到kit的畫面
8 W- H* G: b3 B9 B" F) d( B: s, P4 K# Y8 o& b6 d. k# G# l
####################################################
& y+ t" G' |0 [+ C+ T' t7 ]- D% c到這都很順利,8 f- A$ Z2 B) n1 \
但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?
, c, E: q( e% X導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧
  t6 k6 `& t3 j5 F8 o( h! ?
1 n( G( {, A+ g7 m看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!" y3 M6 ~* E8 L& X" E( V! O7 l. x

  `- r8 t# g; ]. S: `$ T0 ]  r/ _
7 t7 a. X4 g# I8 d, h: M5 X" j% g' o
8 }5 ~5 m. U/ \: |; Q7 s

$ m* y! g2 Z& t
4 k, r4 \5 b& v; j' R
1 q- U9 L; m7 e$ }, ~0 Y; f

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟
+ _# n( z, l( C( o& A0 C上面signaltap2跟in-system memory content editor不要亂開, 9 Y/ j/ a4 J( @  m& d( c- p3 Z
特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面3 X3 V( s( n$ O: A: z# c
發展板的manual要K完, 有沒特別的jumper要設mode?0 u+ p/ S% r: F# }3 F, g8 S
另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,
, Y5 P% {; [0 _; s$ N! s3 U% N那就是你的設計的問題,$ ?$ O3 A& c% ~
這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者
: r  D9 \/ N0 F3 ~2 s9 [6 Q: H: Q
感謝各位的意見~
! y  f" u$ j  d. N1 Q同樣的描述~: \1 t9 r- }3 _# A% Y; _' _
我放到altera另外一塊kit→EP2C20F484C8(茂倫)
; a: P7 h5 z  q" \所得出的結果就是我要的~8 X) K% d5 ?; x
差別只在PIN的ASSIGN* ?& ~9 {& T$ ?4 x
這樣子可能會認為KIT有問題~  l9 s! B  n3 D3 ^
所以~
% j' i9 v* D4 q; S0 Z2 m我又重新寫了一個
' p: g+ Y( }+ O) k) J1 v0 l' f% y放到altera EP2C35F672C6這塊KIT~
2 o$ A  R8 y2 a* `) G- |/ @ 2 r8 N- J3 i5 D. X: M: p( F/ [6 x
居然可以動作了~. Y# g* d# z# p6 i" P. ~9 l
以下就是這段硬體的VERILOG HDL
1 O+ c+ I. ^, q6 j6 n5 B4 N`timescale 1 ns/1 ns, k5 {2 O& ~8 j% K
module chip_top (
7 p& [3 q8 n; a8 ]! \7 Q                  clk,
0 k& d+ k3 e4 y& n& f: N                  rst_b,
$ T8 q0 ]: a7 s5 C! M                  cnt,, c0 a( D  N7 m- t8 p; L
                  seg,  , x9 d* x1 T1 J5 C) w  ]- @
                  a,
" p0 l7 T' ?! x7 `                  sel,* {5 j7 E6 R; z7 I4 h- |# L3 B1 C) m
                  seg_u9,   
3 V2 d0 a5 g# h1 y. B, I3 P                  rst,
* U  v& {. u& K                  clock,) n& p/ P1 S7 n# D- O4 _$ W
                 );
. G$ f0 Q; [/ W5 L( h' R3 ]  + M! c6 F) O1 N4 P% Z
   ' z* I& p' S& l. O. z* ^
  input  clk;( l9 J  J2 M$ n% N
  input  rst_b;
1 I& H; x2 n6 W' u  input  a, sel, rst, clock;
' ?  k/ y! {  o! V/ o* L' ^  output [7:0] cnt;  h. @  a% D% A! I/ t
  output [7:0] seg;) j1 u0 ]) Y8 p$ c$ K; A
  output [7:0] seg_u9;
, Z0 I7 ]# H% O  @' J  reg    [7:0] cnt;
& E1 ^' x& w2 Q/ [  reg    [7:0] seg;8 o- [: _. Y9 y. H
  reg    [7:0] seg_u9;
: m$ _; m2 m0 e& K4 p0 V  x+ s  reg    [40:0] clk_cnt;
% e" h( J6 g4 s& f; j4 `0 z  reg    [40:0] clk_seg;  J6 d+ v; ]5 q2 _( K
  reg    [40:0] clk_seg_u9;
; Q8 |$ r( n: q4 N% P3 K    wire   clk_cnt_end = clk_cnt[20];
: X; ~( }; m" n. J   wire   clk_seg_end = clk_seg[20];1 j. {$ s( r1 \+ Z- M" g# q
  wire   clk_seg_u9_end = clk_seg_u9[20];6 L* G2 |- q& H* w$ n; a
  1 I5 U; h4 R, e( R% i  c
  
' d8 n3 j- j) r//---------------------------------------------------------------
1 Y3 m/ [4 b$ {8 Z' b  always @(posedge clk or negedge rst_b)
$ Q/ [. R9 q% q* `/ `8 z- H; m# `4 U0 j    if (!rst_b) 7 m+ {5 D  C- l' {4 \4 u" w0 }) L6 {
              clk_cnt <= 0;5 y+ B7 v/ O* F+ A
    else  
# m& Q  g) a( N, b             clk_cnt <= clk_cnt + 1;
- q7 Z8 p" T' _, i; J- R# K5 G
* u" i/ r6 a# ~  always @(posedge clk_cnt_end or negedge rst_b), l8 N( X+ }' n  n& e3 P& Q
    if (!rst_b)
, D$ g1 w4 l( C5 ?( Y: J/ L3 r              cnt <= 0;  D3 s" N/ V* u. G8 ?* o
    else
1 @" H+ \; z/ ~0 a0 W+ r% j9 z              cnt <= cnt + 1;0 P* Y6 R) S, v2 w( A

$ P% n5 M5 q8 j9 t9 T4 [9 _- ?//---------------------------------------------------------------
9 O, x7 v. K7 T' I7 k, D! `
5 S' N! M/ b3 c) K& x; I0 k3 H% a* g
// always @(posedge clk or negedge rst_b)
7 r; d: F; [4 H) R//   if (!rst_b)
& [* z  D1 U, h//               clk_seg <= 0;( r% |* U( d  l0 \7 t+ W; s" G
//   else
1 U( k1 Y" W, B; q//               clk_seg <= clk_seg + 1;
: [! C) k5 `$ k- g" r' L' y$ |& @* O& E- W1 [" R: T% [6 w% _
// always @(posedge clk_seg_end or negedge rst_b)
/ O; G  f! A. C//   if (!rst_b)
( c- k4 l) u" Z4 ]: Q3 |' A& k9 A//              seg <= 0;
! W* w, ~: ]0 ?  |: E. m" U//   else3 e+ H, o' y8 v3 {9 F
//              seg <= seg + 1;) K0 K+ h6 m, c% g
//---------------------------------------------------------------     
, O4 I' M6 K* V8 A//===============================================================           & F$ [) Z4 B! w. f) L- Y0 m
//  always @(posedge clk or negedge rst_b)! R$ h# V' M4 X# z
//    if (!rst_b)
( K: J2 R, ]2 k5 p6 d! T//                clk_seg_u9 <= 0;# C$ j* b8 |0 t" z& z7 W  S
//    else
& @& W7 T# v# v2 \4 p6 s//                clk_seg_u9 <= clk_seg_u9 + 1;
' l$ T7 I  U- }
4 r; D+ F" b" q//  always @(posedge clk_seg_u9_end or negedge rst_b)
- Z- |6 R# m+ S" k//    if (!rst_b) $ k+ U- e. S6 K& \/ z: H
//                seg_u9 <= 0;
; Q) x) O* l$ A  p2 J7 Q//    else
% P9 i* `) p1 F1 t4 x7 K& b) Z//                seg_u9 <= seg_u9 + 1;               `6 z5 \$ m& |8 D$ x. n
//===============================================================     # c' \9 Z0 C# u8 s( n0 h/ }6 u7 l
    always@(sel or a)
& d& ]+ V) e' p3 ]   begin9 b7 j$ g: h9 Z1 Y; l; R
          if  (sel == 1'b1)
$ T! c# d$ V9 o# n/ t# v/ G               seg_u9 = 8'b0111_1001;              1 y  d" w- g, e# i) r" Z2 u
    end     
; {& X9 R; ~( e9 S9 d     always@(sel or a)9 n- v" E% t' p; I/ w0 d
   begin
: i; y) i1 x% g" ]0 Q7 y          if  (sel == 1'b1)9 O' i( Z1 \( }3 j; i
              seg = 8'b0010_0100;              , m+ T+ A/ N/ `, ?5 @
    end  
0 G( Y1 R0 D  u4 }/ y, B//===============================================================' g# M0 d+ w9 ?% d$ n
      
+ Z. H9 r. d' \: i, ~- J: lendmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-14 03:21 AM , Processed in 0.129016 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表