Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4298|回復: 3
打印 上一主題 下一主題

[問題求助] 為何視同一條timing path

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-9-18 19:40:57 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
Dear sir,
; j+ d2 J+ U( l6 h9 O  請看圖,所有的記憶體都是同步的,所以我基本上認為因該有兩條timing path,
4 m7 H4 n$ f9 z( r- Y8 k+ l第一條 : clock -> 同步SRAM -> 同步ROM的data input& T* K5 w  g, V8 |5 T( ^- j
第二條 : clock -> 同步ROM -> FlipFlop的data input
, b; c) `  C2 Q% L  \但在FPGA與CMOS下做STA時都是只有一條PATH:從clock直接到FlipFlop的data input,途中把同步ROM當成組合邏輯元件似的,將他的delay值加到這調路徑,好奇怪喔,都是同步零件阿。
5 P2 x( F/ N/ J1 v3 e2 t0 i想要將ROM設成false_path要不好設,請問該如何做?
! o2 R6 M, R) f/ l$ x" \謝謝。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-9-22 10:46:30 | 只看該作者
一般ROM是用LUT一類查表的電路來實現, 所以在看timing path時會由sync SRAM直接看到FlipFlop,7 D: U- i( n6 Z3 V( y9 U# f- G5 t
至於你電路的sync ROM(?) 可能是ROM加上register input/output, 這東西很可能在合成時跟上/下游! p$ D# ^/ b9 z, u" H) O2 ~6 H
合併, 建議你仔細看一看你的log檔, 或是technology view, 看是否有作化簡的動作
& c5 E9 e  I% Z9 Z8 ]8 x8 F  o$ N- L: c1 V/ I. I. V4 E: E
還是你方便將這段code post上來給大家合成玩看看?
3#
發表於 2008-9-22 22:33:05 | 只看該作者
ROM / RAM 是 DC 可以合成出來的嗎 ?
0 l" ^' C; N% _1 c除了 Register File 應該都不行吧4 N: o5 |) x6 |5 k3 V" Z
: @, Q% g, K, e% U% m0 A
[ 本帖最後由 masonchung 於 2008-9-22 10:34 PM 編輯 ]
4#
 樓主| 發表於 2008-9-23 09:42:31 | 只看該作者
For  sieg70 :  d6 V, X1 N7 {3 f/ @+ ~$ T
您指的是在FPGA下吧,您的解釋我認為可能性很大,不知是否有方法要FPGA tool用memory cell來做ROM?不要用LUT。但在CMOS下就解釋不通了。. `& _; h9 a- K; O
此外我也會去看log檔, 或是technology view,謝謝。 & Z# _1 ?$ s$ ~7 I% H8 I

% ^" o- {7 c. eFor  masonchung :9 W6 L9 [# O* H- k' s
ROM / RAM在CMOS下都是用memory compiler產生的,所以是hardmarco。
+ t" ?# z% j$ p  XROM / RAM在FPGA下都是用FPGA tool產生的。 謝謝。
! t- z) n' k1 U9 e. g( \+ E) |' |% ]4 ]- T7 q/ b  l6 i; |# z4 s5 c
[ 本帖最後由 jerryyao 於 2008-9-23 09:50 AM 編輯 ]
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-30 08:41 AM , Processed in 0.112515 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表