Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4499|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led, J' Q+ a6 `1 O7 U7 ?; G
//==================================================//
# \! m  K# \$ P) I. h`timescale 1 ns/1 ns
7 z0 C1 ~- {; n( B  [3 s, ^
, ?2 Z: P& l, m9 |5 Q  module  test_001(
2 C. q6 O' T& a" S. Y                   D,5 ]9 y# z& N2 ?7 J9 Z
                   Q,
# z2 ]& L9 U7 @" Y) f9 E                   clk,3 B8 u/ K+ \9 y' Z& {" j% \3 f5 X7 a
                   reset,( Y) g, V4 ~2 I2 k! q3 }) x
                   QB) m/ m0 N2 u) b3 r6 ]0 }  u
                   );
0 G2 Y! [% e5 H, F: `, g$ Kinput   reset, clk;7 C7 _2 U+ z; @/ X% Z$ V, d
input   [3:0] D;
8 u$ d# t8 R0 ]output  [7:0] Q;- [! z% |, E% q1 P: ^- d
output  [7:0] QB;! q4 S8 p- x+ V4 _7 q) i0 x& K
wire    [7:0] Q;
" V2 b* A# e3 X; r2 v7 ?& Rwire    [7:0] QB;
! Y& a3 d$ ]7 O/ Y8 J) Oreg     [7:0] X;4 S8 p2 m/ b; i  P, q; B6 s
reg     [7:0] a;
; }8 v' J, d4 h4 s5 H; V5 R( N
" p7 v. A. i( h  f
& F. |: p1 W' d% V2 b4 s# `
: F* _' k: ^5 ]" V
2 U4 ?( S& }; galways@(D)
+ T- w9 x7 C& B8 O  begin* W8 g7 ?( b3 M6 R4 N
         case(D)( {) z0 L  x, ]4 T, P1 K
             4'b0000   :  X = 8'b0000_0000;
, P# Z  i8 a8 M# \' T             4'b0001   :  X = 8'b0000_0011;
8 I7 ?; o5 L( ~* H- O4 u- n8 a( |             4'b0010   :  X = 8'b0000_1100;
! |5 u: z" W  N4 I; Q             4'b0100   :  X = 8'b0011_0000;
* u# |' s' ^- R( h1 ~( w             4'b1000   :  X = 8'b1100_0000;
: V3 k2 `7 J8 T; N0 R! i4 }             default   :  X = 8'b1100_0011;  X/ \* N" y+ M+ u
         endcase   
9 B' m" H; p1 O' q, s: K5 K) O" t  end             , Q2 d$ G* E0 S7 t- p$ t
  
  G3 c8 u4 N0 y2 m# g2 m5 |- w8 A/ jassign  Q =   a;. T  M2 d0 M8 w
assign  QB = ~a;6 ?% d6 n* _. u6 J
            
& I4 g. V* Z$ d$ c  ?! |always@(posedge clk or negedge reset)
) X& R- @3 S0 b  P: I; g  begin8 n+ x; X6 C  N  N( D2 K' _( d
     if(!reset)" X* I$ P0 V8 P! ^6 R
          a = #1 1'b0;, ]) o5 ^3 n+ O
     else
) i0 S0 F) n+ t6 f          a = #1 X;/ v; w2 F7 ?6 ^- }& P6 s
  end                                 
. e# e5 f; ^& f, ]# V   
# |* Y8 Y3 Q, i, o  p( p  f  endmodule% M/ E* s" t: \5 f3 M3 _
//===========================================================//
) D4 F3 n: L! W: A. g5 D# O然後以下是Quartus產生的qsf檔。6 j. B" i, ^! D0 G# M! O
//===========================================================//
4 r9 o: i# k) n6 m2 W) }; T# Copyright (C) 1991-2006 Altera Corporation
! m1 f) w' G# v( {- e. ?8 d; Y, T, B# Your use of Altera Corporation's design tools, logic functions - B- D9 R- D; |+ G6 T0 R$ X
# and other software and tools, and its AMPP partner logic
. L; F$ J6 W& i# c/ b, u# functions, and any output files any of the foregoing
2 x/ y+ J# L. f0 r6 F# (including device programming or simulation files), and any
4 Q" \# a8 R+ [# associated documentation or information are expressly subject
6 J: [1 d3 h/ `' r: P8 @# to the terms and conditions of the Altera Program License 9 q7 {: s3 G# i& D# s1 R1 t5 {
# Subscription Agreement, Altera MegaCore Function License
- B3 {' }) u7 m# Agreement, or other applicable license agreement, including,
# X5 ?1 P9 S- ^& w2 s. [# without limitation, that your use is for the sole purpose of ' y! a& W( J8 A4 m2 L' Y* v
# programming logic devices manufactured by Altera and sold by
3 ?& L4 W8 O, @3 K# @: H# Altera or its authorized distributors.  Please refer to the ( E4 a  J" y+ S0 s
# applicable agreement for further details.
, D4 N* K2 |/ P% B, k  M: d" |, t+ a( V; _1 e# Z
  J) b% h& n, P8 w
# The default values for assignments are stored in the file
" T5 H6 V0 y8 ]9 I. n7 N% {2 k#                test_001_assignment_defaults.qdf/ U) E' }- @$ p/ W5 D
# If this file doesn't exist, and for assignments not listed, see file! N' Y5 {( D# m! M4 @! f
#                assignment_defaults.qdf/ @1 [0 V& ?- M8 E9 ~+ n
" f$ ]! Z+ p+ ~
# Altera recommends that you do not modify this file. This" C# x) e4 u3 }' {4 O
# file is updated automatically by the Quartus II software
0 `1 i3 s1 F3 b. p5 [# b/ W) D# and any changes you make may be lost or overwritten.) k+ @( o6 p0 B. G" M0 |$ o
' ?: N3 m$ S' u* z, G- I
3 J3 Y! t6 v: v( K' _
set_global_assignment -name FAMILY "Cyclone II"
' T, q: J, f% Q/ t' U4 Jset_global_assignment -name DEVICE EP2C35F672C6
5 K/ g7 q0 x( n  ?" uset_global_assignment -name TOP_LEVEL_ENTITY test_001
8 E" u0 P" v& ~. N2 \set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0( ~+ R3 D( w  B3 w
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"7 }: \& v% F5 V( @  {* `
set_global_assignment -name LAST_QUARTUS_VERSION 6.09 L' ^( f% z7 |8 I8 z
set_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"
' E* Q- G* ]! ?! Sset_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
. R, h; G9 z0 p: K* }4 Nset_global_assignment -name VERILOG_FILE old_test_001.v
3 U7 Q6 S$ J# O' Jset_location_assignment PIN_Y11 -to D[0]
3 R5 m  K5 F$ y8 Tset_location_assignment PIN_AA10 -to D[1]
% h- ~& |7 S: eset_location_assignment PIN_AB10 -to D[2]
) [, S+ i4 l" j7 K" L# [9 fset_location_assignment PIN_AE6 -to D[3]
8 J& k; O5 G( w: \0 o, a5 rset_location_assignment PIN_AC10 -to Q[0]
) y) B. T2 q3 iset_location_assignment PIN_W11 -to Q[1]1 `& b9 O* ~' Y& K
set_location_assignment PIN_W12 -to Q[2]
1 \: [% U$ y$ U8 f( D: tset_location_assignment PIN_AE8 -to Q[3]: y" c6 V4 }3 b1 X
set_location_assignment PIN_AF8 -to Q[4]
9 `' l. J6 M6 d3 Uset_location_assignment PIN_AE7 -to Q[5]
+ V0 h+ e+ D! S. F6 V6 O0 Zset_location_assignment PIN_AF7 -to Q[6]8 P. @' ^2 z. F8 R
set_location_assignment PIN_AA11 -to Q[7]
. v. N- g7 S" E$ y) C& Wset_global_assignment -name SIGNALTAP_FILE stp1.stp0 r! _1 S8 @. T* m% w
set_global_assignment -name ENABLE_SIGNALTAP ON
0 ?4 ~9 ~$ H: E) s! ?+ v1 {) {set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp; H$ s/ m  s# ?/ y. }
set_location_assignment PIN_M21 -to reset' A3 j! _2 g% _  b; O
set_location_assignment PIN_P25 -to clk! L$ G2 h9 g' s& ?0 b# `
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"9 X% Z5 j9 o2 R9 M% X0 m
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis
% Y1 c6 a/ q# O7 @" z% D" Dset_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis
5 C9 d$ a# S7 b; v) wset_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis# A3 u4 |2 l( U" b. y& @; p
//=================================================================================================//; c9 C0 q9 {! [5 V
我的問題是,不知道為何怎麼樣都燒不進kit裡,
% A2 j$ y7 I# M6 ?7 M1 F已經排除並非JTAG跟KIT的問題!, S8 M* V, ~, W3 d! P) E1 Z) Y$ T
請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者

& C/ ?# j% n& i8 L! u只有WARNING/ ]+ f. }$ [) R! J* m
沒有ERROR
% h( n2 j) A" N6 l! Z這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??: s7 r- I! _3 t+ T
不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者
) @% c" h* E  L
1 u# R" \9 m% a+ b' G
這是program的畫面# X8 E* ~$ f8 O
1 w0 E% C7 p# B" {% N0 W$ T

+ N( c  Z3 r: [1 e$ A4 R/ t( Y1 S. l8 `# [' w
這是assignment pin的畫面
* V' E' q& M' g3 l
! v. \4 R3 D, G8 c, s& W
: z; s, C0 e) `. M
- r8 O8 {: y$ l% ~8 l0 a這是燒錄下載到kit的畫面. f5 f' _6 C2 ]8 w. ^& G) q' I" P) m
) k1 n2 n3 x+ `3 f
####################################################
& U4 O' }: u6 y9 `4 h到這都很順利,, z  d3 S- C* R6 C+ [
但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?9 m* S! l( V9 i3 K! k& c
導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧: b# r/ P: _6 N) m+ q6 G

9 r8 {# Y; F  r% E# j. O看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!  c& C4 s" k8 N4 x0 z! f
3 J( z( D) @( p1 ^; ~/ n" l

4 L( s/ B' ?2 s6 w% s
9 }5 h/ `0 p& y, Q1 V* h6 f8 _, d0 f. ?1 Z0 G

8 N- m6 h9 X8 H/ g+ X8 v0 T; i3 Z0 J  X+ \" ]/ |) S# v3 m

* h. s7 E8 [; r* h0 O/ ^4 N7 l

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟& }3 Y  e$ P8 W+ N  l
上面signaltap2跟in-system memory content editor不要亂開,
  J3 n. p+ ^' O* Z3 j特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面
! i0 u" t7 `$ M4 k/ T0 B2 e發展板的manual要K完, 有沒特別的jumper要設mode?
' n) w' v8 t! T) b/ O# o3 w另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,
$ @5 w, p$ S! J) n那就是你的設計的問題,+ h2 P( \& S" H! n
這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者
7 I1 p- a* B; H8 k
感謝各位的意見~6 ^" S, [7 {6 l2 M- s0 Q
同樣的描述~3 {# S1 b0 I* x, L
我放到altera另外一塊kit→EP2C20F484C8(茂倫)
1 _& }) s! f  v; I; [所得出的結果就是我要的~
4 n. J/ H# Z& a, Y5 Y差別只在PIN的ASSIGN8 R5 W; J3 D# m
這樣子可能會認為KIT有問題~4 V3 b+ p! M1 y9 p/ y
所以~5 c% d0 r" g; m: q& f* z
我又重新寫了一個# i( W  U5 N$ E
放到altera EP2C35F672C6這塊KIT~
7 ?/ @9 q3 e. Y. x7 h4 x2 l : P" U; Q/ A) z1 M" k* c7 n
居然可以動作了~7 A% Y0 d5 X5 {0 d1 t
以下就是這段硬體的VERILOG HDL* Z+ y4 B9 e! |, h6 O
`timescale 1 ns/1 ns
6 H  f/ I( O0 tmodule chip_top (; t( \( n5 S2 M* V( u5 b6 F9 N
                  clk,
" q9 J: Z0 ]' q- e/ O2 z7 F( V                  rst_b,
+ C' f! F8 }/ Y) G                  cnt,
6 ?9 _2 r# n' u8 t) s                  seg,  ) c2 N0 t: _3 v: j
                  a,$ [# j2 I) k5 E7 T. ~& b/ L, B) A
                  sel,
1 U& ^, Q, S# x6 D6 Y) i                  seg_u9,   
& U; f, }  C# o# w& S                  rst,8 K7 S- X% `7 p+ M9 n$ U
                  clock,2 G2 X8 h8 e: U$ i
                 );6 }0 |) w+ V$ I7 P1 y
  0 U  U7 s5 U: H1 N2 x
   * Y( l1 `) H# u2 C! K0 |6 ^" `3 ]
  input  clk;
, O% q! i* U+ }& i  input  rst_b;- F" A! G' Z) f1 ]' j; G/ d* ?
  input  a, sel, rst, clock;
  k2 t. Q' L3 |7 i/ W  `  a8 W3 P  output [7:0] cnt;
  {5 U! m* E0 t. b# r  output [7:0] seg;# E( Q3 a# g3 U' J1 e5 z
  output [7:0] seg_u9;; {' U2 ]; a# l* U3 ~( Y
  reg    [7:0] cnt;
$ S% m3 }1 Q5 j; s6 h( V) R  c  reg    [7:0] seg;4 j$ P: p, Q# F# N
  reg    [7:0] seg_u9; , ^( c; i6 }0 o# B# \
  reg    [40:0] clk_cnt;$ ?! ~4 E, X5 `6 E$ f
  reg    [40:0] clk_seg;# K  O7 U; z1 L  L
  reg    [40:0] clk_seg_u9;
, n. u4 v3 j0 J1 \2 I# G! }    wire   clk_cnt_end = clk_cnt[20];( F) q, F4 {0 Z% h4 K# t+ n
   wire   clk_seg_end = clk_seg[20];
+ a2 E; f, p5 [* f% L) |  wire   clk_seg_u9_end = clk_seg_u9[20];8 }: l9 s; @9 Q  d8 i. a9 m
  6 J# O$ b3 f6 B4 R
  
5 Y, j' Y6 t* W3 v3 e//---------------------------------------------------------------
1 Q- I5 [0 v2 J' K2 c1 ]$ r5 j' t) r, v  always @(posedge clk or negedge rst_b)- X$ U4 h# J" Y9 D# Y& G
    if (!rst_b) $ K) j6 {5 L9 l* u
              clk_cnt <= 0;
- |6 ]' j/ M( O- |    else  
. k; J0 e) ?( B5 i" F' ~& T9 @             clk_cnt <= clk_cnt + 1;
, N# H" S- v: E3 ~( ?- U3 P  D/ i+ j% k3 H
  always @(posedge clk_cnt_end or negedge rst_b)) e, K4 P5 [" s. G
    if (!rst_b)
9 V& C; Z3 k( L* \              cnt <= 0;
; @! \- v& S- N) U6 ]0 d    else 8 ~3 [* V9 h' Y8 q5 Q
              cnt <= cnt + 1;
, D. W9 E3 z* P, a. H5 n' @& B6 h5 I. \, e% c& J% B7 j- j2 B
//---------------------------------------------------------------  e7 t2 p2 n9 |5 a0 k

; L1 I" X2 ^8 @! l- h$ s$ ]# V# O. l$ c
// always @(posedge clk or negedge rst_b)9 E' w2 Q8 q; ]& i' o* l
//   if (!rst_b)0 q4 t  m! V3 k: z( s
//               clk_seg <= 0;
( G0 l. E" w4 w; d1 w//   else
( |$ H" y, d* M' ]7 t9 H2 F  r//               clk_seg <= clk_seg + 1;
- S: H. @/ a& i  X% w$ U
3 u7 K& |2 U3 W7 I7 I4 A- N( v, B// always @(posedge clk_seg_end or negedge rst_b); m% [- \* q; P& j% Z; B* `
//   if (!rst_b)
0 A% e: n& x+ ]) H3 b//              seg <= 0;
& W6 n2 Q( z: ~8 ?6 W+ d' K//   else
+ T4 g0 n3 |! d3 L$ @//              seg <= seg + 1;
; T7 E( E/ @/ }* J% Q//---------------------------------------------------------------     
5 c0 b! ?  |& T# ^& h+ y//===============================================================           
; L6 V; ^+ ]& ?' w6 s; [7 O/ q% w//  always @(posedge clk or negedge rst_b)
! u# @0 I6 ~0 p8 e1 V; w//    if (!rst_b)3 G) t# |8 f+ C& M8 s: E; D
//                clk_seg_u9 <= 0;5 ]8 R: Y4 o( m4 j. T# G4 K& \
//    else
% z. n5 f! Y; K//                clk_seg_u9 <= clk_seg_u9 + 1;' D3 Y* ?' K! G2 a

5 x% L' u' n: Q5 r//  always @(posedge clk_seg_u9_end or negedge rst_b)
% q% r/ `& b- Y$ p4 E$ a' @: W//    if (!rst_b)
" h# I" c8 i# l1 A4 \4 ?7 R//                seg_u9 <= 0;) U0 q. q9 ]! p0 `/ f$ Q& x/ Y
//    else
+ I/ x. Z2 q; I" V6 x//                seg_u9 <= seg_u9 + 1;            
5 V: k' h4 E9 g6 G+ {' Q//===============================================================     % A! @, P) N) B% |" B2 ~
    always@(sel or a)
$ {5 W" b2 o' P" f, Q/ x   begin3 g2 P" p' I9 j- y6 A: S- @
          if  (sel == 1'b1)! d& [% m" g7 U5 s8 l0 X
               seg_u9 = 8'b0111_1001;              
# D9 c4 h# {3 Q3 r& w3 ~% O    end     4 Y1 c3 t# @: V; R& G; E
     always@(sel or a)" Y+ z$ q( W, M4 \) H
   begin
; K  m7 \. R9 Y# O          if  (sel == 1'b1)
% B. T  q/ i! A              seg = 8'b0010_0100;              7 r2 x2 z# }2 |3 f, n
    end  + X0 o; J% @5 _
//===============================================================
" C$ {9 n9 D" S      8 g3 w( F! N6 g  C& ?: J* U
endmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-6 05:54 PM , Processed in 0.151520 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表