Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4500|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led
4 u1 w% w. q1 _& I3 t//==================================================//
' v; ^3 J% A0 R/ c$ a7 ]`timescale 1 ns/1 ns% q$ ^5 u! \- H* s2 z+ [

! O3 s; [% E5 S+ b8 m  module  test_001($ y& w5 d( w9 e
                   D,
. @0 f) Q4 |- \7 g4 r3 d                   Q,
3 v2 j! F$ x% t                   clk,
8 M2 R  L2 }- Y3 l, E                   reset,
, J$ [% H0 y9 A3 y4 }- s# w$ y  p# z                   QB( V; j# x& b3 T0 q" z" |& ?% U
                   );
4 U5 V; c- P) p* ?, vinput   reset, clk;
3 M, ]" {( M$ [  B% zinput   [3:0] D;$ Z3 J( h6 N0 b, M4 t+ Z
output  [7:0] Q;" _3 Y, C4 u4 [9 R* Z8 c
output  [7:0] QB;$ Z& f, Y* K2 d" Q6 a
wire    [7:0] Q;
* r% M- q/ [8 U* ?7 ?wire    [7:0] QB;
) S, c' n' p, w9 i6 i2 D) greg     [7:0] X;
" e6 F. W5 q+ G. h# j3 y; ~reg     [7:0] a;8 k# Q' {  |: h" b" {

2 L! ?- i6 o) d- n: ~! V, M
; e7 \' ^) Y, s- d' {) L. S- O) b5 H- f6 D9 ~2 u
' A: a& @' ?: j! ]2 v2 y
always@(D)
7 s4 ?& |6 L" r& v  begin' o9 s& P* p. y9 B% F
         case(D)4 }% J( A* L2 k# @
             4'b0000   :  X = 8'b0000_0000;
" t  c. _& q) o, e8 N& ~6 B             4'b0001   :  X = 8'b0000_0011;
" G  B/ ?) ]2 r1 A             4'b0010   :  X = 8'b0000_1100;: R1 W; U2 M, f
             4'b0100   :  X = 8'b0011_0000;5 ^' q* _8 E8 [0 T
             4'b1000   :  X = 8'b1100_0000;8 v6 m/ j+ F2 e
             default   :  X = 8'b1100_0011;% e; {# p- k& w8 t/ i" n
         endcase    4 Y9 D" s% H( g" W* K
  end            
" ]& ~2 s! I/ r) Z  
+ i9 ]! f8 L& _* E  s/ D5 k5 Cassign  Q =   a;5 b2 q; z0 G. @" \7 U
assign  QB = ~a;1 {$ r+ j, b/ p# S7 u$ B7 _3 @
            
% x0 \6 B( V/ `, G: @* y! lalways@(posedge clk or negedge reset), ^+ |0 {" o+ r. V- W7 @
  begin$ `2 [5 D8 U/ ^+ i+ ?! Z5 w5 w" e
     if(!reset)5 d( k  ?) q8 q4 c0 _1 S- \6 x  H
          a = #1 1'b0;5 R2 ?2 w8 L+ v3 \+ u
     else" m- A" Y# W3 ~* f( O& t
          a = #1 X;1 ?& a# Y+ g! I' Y- G
  end                                  , W6 m2 ?+ h9 f( O5 ~3 `
   
! ~7 u) I+ D+ T) ]  endmodule
. D2 z3 o% |. v+ @! \' r//===========================================================//
# ^# R$ R- M- `# g3 B' l1 W然後以下是Quartus產生的qsf檔。3 A- W3 \' _' l" J" j  C
//===========================================================//* [9 P5 d7 e% n. w0 N
# Copyright (C) 1991-2006 Altera Corporation. H" F, g' i4 B
# Your use of Altera Corporation's design tools, logic functions
1 R9 ~  d: w& b: A4 G1 y# and other software and tools, and its AMPP partner logic 6 b9 @# x7 P, T) k7 @% ^9 Y
# functions, and any output files any of the foregoing ' X) ^) R1 W8 Q1 [
# (including device programming or simulation files), and any ( l: i& Y( C7 H9 B! M
# associated documentation or information are expressly subject
, U2 P( E" S: }3 g% T3 F+ `- {# to the terms and conditions of the Altera Program License - H6 a! u9 d! }% B* n. _, \
# Subscription Agreement, Altera MegaCore Function License ! G, D2 F: U( o7 u  h! [1 U" C
# Agreement, or other applicable license agreement, including,
; J+ |9 K! G8 \% W( [3 U# without limitation, that your use is for the sole purpose of
+ X/ z3 b5 ~4 q# programming logic devices manufactured by Altera and sold by ( C0 N6 C7 i0 j% k2 N
# Altera or its authorized distributors.  Please refer to the * h5 W3 s& I% S& b
# applicable agreement for further details.
) h/ `/ K4 c5 v) a+ Y# C/ G3 C5 J- I$ l* i7 |

. `0 `3 u0 e. M, F! `& V1 M- I. U# The default values for assignments are stored in the file6 ^7 u. t4 `9 U7 v
#                test_001_assignment_defaults.qdf
7 P4 |% U4 c% o# If this file doesn't exist, and for assignments not listed, see file
) ?2 s+ Y+ A: w+ O5 k  X2 N#                assignment_defaults.qdf
. i( B0 l0 R, C) A. V6 s
* s3 [3 X, F, g/ }  c  [( K& L+ V# Altera recommends that you do not modify this file. This0 u  G* y; R+ y1 h" s( j9 H
# file is updated automatically by the Quartus II software
+ [' P4 Q, r2 f3 X6 I3 M# and any changes you make may be lost or overwritten.. [3 \; T" x! K4 s/ b- E) p0 \
  V6 h/ J* b- D& S5 g( w1 X

, ^! {2 B( Q6 G% q' s' A0 Sset_global_assignment -name FAMILY "Cyclone II"! F/ L5 ?6 H5 R; B+ r1 b
set_global_assignment -name DEVICE EP2C35F672C60 \  ]! f& a# ?  U3 `- B  u- G
set_global_assignment -name TOP_LEVEL_ENTITY test_001. L+ V7 X" f& H! I# [$ q
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0  m9 r  L! Z% x' l$ o
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"  o4 F: @+ H  k
set_global_assignment -name LAST_QUARTUS_VERSION 6.0
/ C6 W7 K% ^3 F" mset_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"
& p7 u6 y2 z' o/ C. ~set_global_assignment -name DEVICE_FILTER_PIN_COUNT 6726 {- z# }3 o; C
set_global_assignment -name VERILOG_FILE old_test_001.v+ e$ q: Z/ {6 `) W
set_location_assignment PIN_Y11 -to D[0]+ f+ ?7 w4 V- x# {) a
set_location_assignment PIN_AA10 -to D[1]
' S. ~9 ^' w, zset_location_assignment PIN_AB10 -to D[2]
6 z/ m; H- s  b6 [set_location_assignment PIN_AE6 -to D[3]
, N0 P+ p6 w8 U7 @7 u1 e- ]  \* R8 jset_location_assignment PIN_AC10 -to Q[0]+ g, s1 B2 k, C9 F2 i
set_location_assignment PIN_W11 -to Q[1]
( r3 {& L/ R" i$ y# [" x$ jset_location_assignment PIN_W12 -to Q[2]$ d5 i6 ?  F9 L5 r" u* \4 x
set_location_assignment PIN_AE8 -to Q[3]# ^- n: M" x& W
set_location_assignment PIN_AF8 -to Q[4]! x! T1 c' D- z/ ^; B) s: \
set_location_assignment PIN_AE7 -to Q[5]
6 r! u5 w! |# [/ Hset_location_assignment PIN_AF7 -to Q[6]
6 R& a0 i7 [. z& M9 wset_location_assignment PIN_AA11 -to Q[7]
8 i. i7 x5 e9 d! {; `& a# Fset_global_assignment -name SIGNALTAP_FILE stp1.stp
  v$ j' G3 i$ c: V; y# aset_global_assignment -name ENABLE_SIGNALTAP ON: ]4 L9 S1 C4 Z# t  @
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
: b! W4 F+ }# Y# |  u9 @set_location_assignment PIN_M21 -to reset
: N3 o( s- p5 N2 T7 I+ ]. Yset_location_assignment PIN_P25 -to clk
/ ]* u7 c1 Q2 ?set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"
9 b$ ?0 b" b% yset_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis( J- N! x6 P9 r' w1 _3 S+ ?& f" l
set_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis; ~5 O! x! T6 |" L1 X0 k9 D0 y+ A
set_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis2 J# ?. d0 `3 H6 l
//=================================================================================================//
. B3 d( a% d1 H4 e0 n. l我的問題是,不知道為何怎麼樣都燒不進kit裡,9 I; Q% T/ J; b2 Y
已經排除並非JTAG跟KIT的問題!4 F4 s2 N1 j, c4 [& ]) A* u6 v
請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者
' U$ m! ?# L3 I! p$ g
只有WARNING
3 y# p$ q, r# @7 [- t/ ^. C8 B沒有ERROR. @$ [4 Y2 W! ^0 A, W) W! E$ S3 j8 }
這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??
4 [6 K0 W1 o5 g  F% s0 y" Z不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者
# x7 O2 i0 z0 i. X, b* m9 G

2 ^6 O3 v1 F5 {7 y& `這是program的畫面. T3 q+ B$ |: _  k' ~0 W" V: y
% i$ K; V. c* y1 }5 i' ^" K

& M5 ?' {7 a# ~7 w+ W  e7 `0 d3 o  q4 P  k
這是assignment pin的畫面% ~2 Z( N/ ]" E# Y- j) p! O
, A3 a6 F4 w; V6 L* m  V9 H

6 A8 |& z& {8 Z) w$ E0 A& Y9 ~- B. X
這是燒錄下載到kit的畫面, B+ u7 E; i  N9 h

( Q3 |" a" L; J* E* ]2 C$ X####################################################  v. B; B& K- ~! }0 A- @
到這都很順利,
5 l$ C0 w* H$ {9 e+ _- @1 A但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?4 @) o% r2 n) ?* D) g. K7 B
導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧
2 p; A+ w# k$ w  H2 d/ W, _+ h8 N7 z, b; d! U
看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!
9 a% s( W. o* t1 ^$ {1 J/ ^
1 G6 l' V; }$ C* }% |1 z- F3 w+ z1 |) B

. t. y( Y# C3 N% V3 @& N7 l3 W! G8 A9 u  u4 z# Y9 t

6 D( V1 Z, j8 W- T, Q$ G: F( b1 o: f5 Q: A3 P$ I" W

. U4 K  W7 A9 N! p

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟2 [% N% z+ b! ^0 {: V0 K& n
上面signaltap2跟in-system memory content editor不要亂開,
5 Y& a0 p/ W  e7 f. V; b9 Q9 N# C( k! }特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面  `" W/ ]" |+ w7 z
發展板的manual要K完, 有沒特別的jumper要設mode?
! d! R1 K9 w! z) }) R; j" i) i另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,1 l/ J1 C( v1 ]* N. F. K
那就是你的設計的問題,
6 }* C" @( s3 B$ I; w這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者

5 `+ i5 H2 }! ?$ {* p, c感謝各位的意見~1 Q* V7 V- \: q! H0 ~( i
同樣的描述~
' p* j- Z" \' `* e8 E5 _( q- Y2 S) T我放到altera另外一塊kit→EP2C20F484C8(茂倫): U2 Z* c% t& J$ \7 b8 k
所得出的結果就是我要的~
6 R5 w! P, H* i: {4 r2 Q差別只在PIN的ASSIGN
2 P( ^8 r. ^$ ?& Y1 E6 U這樣子可能會認為KIT有問題~* A1 ^4 |* [0 c( R/ `
所以~
! G7 O$ Z& G; j% |3 p# }6 G9 e我又重新寫了一個
1 \; h" o( v; O. W/ \# D放到altera EP2C35F672C6這塊KIT~1 o" y( q2 ]0 ^& [+ S! O; w
4 v8 A; _/ y& L, ^
居然可以動作了~) C1 t/ r" M0 |9 L, T  M2 [
以下就是這段硬體的VERILOG HDL
" c) W4 `6 s0 H* j" T0 F& V6 S( c`timescale 1 ns/1 ns% z4 Q4 U9 y/ v
module chip_top (
) ~6 j9 F/ q+ |! y: b( O                  clk,
3 c5 N2 Z! `5 ]8 W                  rst_b,
5 C2 ?$ d1 ^! \6 G7 `                  cnt,* W2 a: H( b! i9 b
                  seg,  
3 R. W! G- U" q, y$ `% y                  a,) y1 H+ T( \" t( t# {
                  sel," t/ I3 M3 k) R/ x7 w& Y
                  seg_u9,   
& h: H6 }  a2 j0 G& D                  rst,5 k+ T- B1 q  b# X1 U( b. [
                  clock,1 l) G% h$ B! D, W* n1 ]$ e
                 );2 p6 ]8 J+ E; ]! H' T8 f
  
9 k" H: @# Y. {; r6 P   
. w4 I' h: g4 X+ r  input  clk;
2 H/ o% f* p' g7 i, b7 D  input  rst_b;
+ J! y$ B2 s  A  input  a, sel, rst, clock;
" q7 d; }7 m+ q6 o4 W- y  output [7:0] cnt;
% w7 R  M) v$ @" n  output [7:0] seg;! p" w* J0 F% m$ o
  output [7:0] seg_u9;
2 k- V* M3 w- o- G. l3 _' ?3 ?5 n- T  reg    [7:0] cnt;; ~4 v0 L3 h/ L# e# w
  reg    [7:0] seg;1 k, L* t$ X: ]* B$ a& E
  reg    [7:0] seg_u9; / |$ ~# C9 U5 i" M1 R2 [
  reg    [40:0] clk_cnt;3 j8 d* Z* _9 \8 N( R' v$ C5 P
  reg    [40:0] clk_seg;; ]7 Y1 M7 B" p1 x; X2 r9 H( f
  reg    [40:0] clk_seg_u9;! G% s% p, p1 q$ Z% h) R
    wire   clk_cnt_end = clk_cnt[20];
- g' X* L& F4 Y- A+ N9 T8 Q5 H6 x  Z6 A   wire   clk_seg_end = clk_seg[20];
* q" e, d( _" f, f  wire   clk_seg_u9_end = clk_seg_u9[20];
& l  d: t: j& M) g" N' Y) Y6 y& F  . D5 X' V4 R; k! ]' ~1 ~
  , b3 F  C  d4 m! @2 f
//---------------------------------------------------------------
4 [1 a( J! y4 z7 Y. Z  always @(posedge clk or negedge rst_b)
" v; }* ~- b) h' ?1 w3 E+ Y! i    if (!rst_b) ' M# s4 Z8 S8 t+ s$ p( }  r! g
              clk_cnt <= 0;
( ?& C3 R$ K8 }( p- c    else  
, f9 d4 I6 J* l5 A             clk_cnt <= clk_cnt + 1;
# z  w/ Y" O$ T* A6 W/ l$ t2 v% j) k( s
  always @(posedge clk_cnt_end or negedge rst_b)
; ~! Z  [1 N5 v* G7 X/ T    if (!rst_b) 7 |6 ^( j3 H- J* X: S( m
              cnt <= 0;
0 f& t$ [* @7 r2 b, O+ k, \& H    else 7 W0 j  F3 Y! e9 D6 _
              cnt <= cnt + 1;9 ]( W* [/ ^0 G; l8 ]
5 c* q- }. `, X' u1 N4 O# J
//---------------------------------------------------------------8 T0 K  \, U4 z1 y1 r

1 J3 m" Y; }. |1 O/ S+ j' r5 f! `$ J8 \5 r4 A3 j1 N! e5 q0 x4 T8 D
// always @(posedge clk or negedge rst_b)3 p3 Q9 p! S! j( c. O
//   if (!rst_b)
1 @( f# {6 O2 {# _8 E  \% P//               clk_seg <= 0;
* m% C% K1 d( m( C+ }5 d//   else # D9 \" J3 x5 F# F* D" j8 _
//               clk_seg <= clk_seg + 1;
- g5 K% O7 j, v3 K- e
( D6 L' h; T8 |& G! i// always @(posedge clk_seg_end or negedge rst_b)+ q( d/ ^( S1 j
//   if (!rst_b)
' W8 D4 k+ G4 C* P; o8 V  H//              seg <= 0;" @2 e9 h; v" S9 c" d
//   else# f6 |9 g: {0 _+ s  f# B
//              seg <= seg + 1;+ O/ Y1 P+ e% r. e
//---------------------------------------------------------------     
$ z% U; j' J# g" ^- v: |2 O//===============================================================           : W+ T/ y3 }$ }; G- P1 }
//  always @(posedge clk or negedge rst_b)" |% u  ^7 F1 R& s
//    if (!rst_b)9 y( {# S: N8 {* C. x
//                clk_seg_u9 <= 0;
4 ?4 P7 c+ d5 G; t. R) U$ l' y$ [& C//    else
5 p6 L% J$ D* Q% u- ~6 R//                clk_seg_u9 <= clk_seg_u9 + 1;) N* _) r' f( X& \+ }( L

2 L  V' t% x' F# }+ u/ N" W: G1 B//  always @(posedge clk_seg_u9_end or negedge rst_b)
6 G1 G2 U$ \, j5 d! v3 F//    if (!rst_b)
, @, w5 J2 i0 t% U7 m5 \9 Y6 V# [" y//                seg_u9 <= 0;
' `4 K: M: p; \) r$ t3 p+ T//    else- g: N  t$ R: T, m& W+ a
//                seg_u9 <= seg_u9 + 1;            
& P0 V2 w% Y% f: R  _- U9 {, U0 L2 x//===============================================================     
% M9 e5 }: D# N: O% z' r    always@(sel or a)
9 n4 Y3 r& t) z, L4 o8 k   begin
2 f3 a% U$ w9 \9 m; G          if  (sel == 1'b1)
+ D( _$ S! b$ Y, y( b" P               seg_u9 = 8'b0111_1001;              - t0 r8 A5 Y; i
    end     " P" M" f+ V$ |
     always@(sel or a)! ~* S7 c- e5 `( n- w* F2 d
   begin6 ]7 Q0 o; w; \: S8 U! c
          if  (sel == 1'b1)
! Q. k8 F8 P- F" Y+ |              seg = 8'b0010_0100;              
- e- q% L8 L! E1 Z    end  2 j, r. P# s0 q! _
//===============================================================0 j4 C1 e5 @2 k/ K5 I
      0 N; `& P" _( b
endmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-6 06:33 PM , Processed in 0.146519 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表