Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4496|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led
% n2 Y( e% `% x# o2 q0 e//==================================================//
! {' P* I+ K  K6 l! c$ M`timescale 1 ns/1 ns
3 F" l' P4 F3 i/ T( i: `/ a9 U0 u9 D
  module  test_001(6 G! v' v' Q: X$ {. C" j3 G
                   D,
/ J- V$ X4 ~6 b. G/ C6 w, z                   Q,0 x& W9 w( I2 m) M
                   clk,% ]0 A. t- Q: |0 Z0 P, c4 {
                   reset,
& H5 W; L* T! L- E3 s  n8 H" Z                   QB
8 ~& U, x9 d" H$ F                   );! W  }7 a9 X8 ?
input   reset, clk;  s7 N) \4 P6 o
input   [3:0] D;
2 Z) a' O' X- j  n6 K8 ]output  [7:0] Q;/ Q# P' [* y5 T8 j, I
output  [7:0] QB;6 w* D( G! h- W7 {( j+ n
wire    [7:0] Q;
5 G/ i7 m# t8 t! p, v3 w1 z$ H% iwire    [7:0] QB;( u1 Y1 a8 U; ^7 X
reg     [7:0] X;/ m8 C+ O% x2 |# ?8 P8 h9 O, k
reg     [7:0] a;# T2 u9 s# P  }: t  b! H

0 m8 S' K; |3 u( R% ]4 y- ]  K: D4 t# I; ^$ e8 ^6 X
0 U8 x3 B2 M" Y2 R

/ S' ?' n$ |" P. j: Galways@(D)- ?4 ^& e0 C1 z& y# g
  begin0 j/ m9 G8 M) g# _9 G( J
         case(D)
# v! H" \7 Y3 l7 c: Y( U1 I             4'b0000   :  X = 8'b0000_0000;. D2 s* B( T8 l/ @; {' c
             4'b0001   :  X = 8'b0000_0011;
* k# |: e/ p- X* Z* p3 M             4'b0010   :  X = 8'b0000_1100;
# C+ Y$ ]5 O( M4 {             4'b0100   :  X = 8'b0011_0000;
7 p- m1 z) P) X( X  K             4'b1000   :  X = 8'b1100_0000;
6 \4 r* ], I+ K             default   :  X = 8'b1100_0011;. V; m+ ^+ U1 _$ P6 N
         endcase   
: R8 \6 Z2 c0 V+ O  end             $ m4 b: ?4 H5 \0 O4 s5 z
  
; P+ _) O! a: ~4 u7 e* k, `8 B- Dassign  Q =   a;
* n' C1 a3 F; Nassign  QB = ~a;
7 V8 S- Z7 x  Q) z. k            
- S" k" `+ y' s3 Ealways@(posedge clk or negedge reset)
0 S( E+ f: a8 q7 v9 o& d  begin
% \5 S- m% [6 e4 p$ p     if(!reset)' [" R" m5 f: e; B6 x  R# R
          a = #1 1'b0;
. B: ]$ ~4 |% P  @5 z     else
' l9 j0 \% }4 v2 |- }          a = #1 X;
* }0 }0 S2 `8 V  end                                  5 ~3 R5 V" S$ V* a& L2 x% N
   
3 e7 V( _  ]# t: E  endmodule: h6 F* @/ m0 f  B* P  J
//===========================================================//
3 [. A+ y! z  b- P# K然後以下是Quartus產生的qsf檔。* M" V& h- }1 ~  `# H
//===========================================================//3 N' M; C9 D  K3 p2 {; m+ ^
# Copyright (C) 1991-2006 Altera Corporation! Q# B8 Y; T: |- d: F
# Your use of Altera Corporation's design tools, logic functions
. i% p- i* W( t/ a' {# L1 f4 O# and other software and tools, and its AMPP partner logic
5 M' Q- X4 ^5 k# m/ u. O# functions, and any output files any of the foregoing
( \& c6 y$ x. x8 R5 t0 o& n# (including device programming or simulation files), and any
% e/ |  q) m& X: ^) x# associated documentation or information are expressly subject : q" L( U  I/ t  o1 c; {+ @
# to the terms and conditions of the Altera Program License 7 y! Y9 Y2 |# F
# Subscription Agreement, Altera MegaCore Function License 4 w/ h( ]) y5 |* {
# Agreement, or other applicable license agreement, including,
0 U2 L0 r6 a: s# without limitation, that your use is for the sole purpose of
  `' _3 u: q, `, p3 C3 \  k# programming logic devices manufactured by Altera and sold by 4 i- L) ^$ T  Z" ^
# Altera or its authorized distributors.  Please refer to the
9 n. d! l8 H3 E4 @& E- C# applicable agreement for further details.
/ A, U! t7 ~, L; ?9 A/ V( [* O" Q
  l* i5 T) P/ ~% I$ h: R' n' {3 q% @* h5 [  A' f! w
# The default values for assignments are stored in the file
. }" U5 V$ ]& ]& Y. h& {#                test_001_assignment_defaults.qdf$ l' [& X- l% U( T& U( {. u
# If this file doesn't exist, and for assignments not listed, see file, f% c. v1 s% `7 V/ d, U1 y8 B& |
#                assignment_defaults.qdf
% w# T" B  @* u- P5 S
9 C- ^8 o3 T# U3 C# Altera recommends that you do not modify this file. This/ {3 O# K& I' p; f. {0 ]
# file is updated automatically by the Quartus II software
" O+ K+ w. @3 u0 ], ^" m4 Q# and any changes you make may be lost or overwritten.; v$ P3 X* o( Z0 ^
6 g0 V. Q9 N+ j3 {) k6 L1 o$ F

& n, h$ O4 c, e$ p/ V0 |4 ?set_global_assignment -name FAMILY "Cyclone II"8 I4 z! m- m" ^
set_global_assignment -name DEVICE EP2C35F672C6' l1 k) w  n! d5 J9 W0 s: O! O
set_global_assignment -name TOP_LEVEL_ENTITY test_001+ h! l- I& n' u8 z+ s0 q& |
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.01 J4 x3 |; O+ ?  f. E* L$ \9 r- J
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008". H1 ]% ?5 i: @$ i. t9 H
set_global_assignment -name LAST_QUARTUS_VERSION 6.0
5 w1 o5 D. X2 |0 E# A6 K7 V/ fset_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"+ }$ T9 P7 }  J
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672; X. m+ r7 B# |4 R( R1 i
set_global_assignment -name VERILOG_FILE old_test_001.v
5 ?7 s" N% m# T( Z+ Zset_location_assignment PIN_Y11 -to D[0]
9 b- ]2 [! w8 M( e1 nset_location_assignment PIN_AA10 -to D[1]
0 |$ g* `. d) i/ L8 eset_location_assignment PIN_AB10 -to D[2]  e+ I% L# J- S" E% {/ m  w
set_location_assignment PIN_AE6 -to D[3]) P9 S' |& G/ K2 [+ @: d
set_location_assignment PIN_AC10 -to Q[0]
+ q9 k' R+ j2 B4 Q7 ?3 N3 jset_location_assignment PIN_W11 -to Q[1]# p' q  g8 b) F1 D/ F% t* S, N
set_location_assignment PIN_W12 -to Q[2]# Y$ t6 u2 c- `& L# f* [6 X! {
set_location_assignment PIN_AE8 -to Q[3]+ w" t& @  U' ~2 m! k* g2 g# A
set_location_assignment PIN_AF8 -to Q[4]
7 v$ r' a2 t. o& b4 fset_location_assignment PIN_AE7 -to Q[5]& o( d3 @  v; z* |
set_location_assignment PIN_AF7 -to Q[6]/ e; N! n, ^6 D
set_location_assignment PIN_AA11 -to Q[7]
( e. r3 N  c  ^+ _set_global_assignment -name SIGNALTAP_FILE stp1.stp" ^! b( ], Z+ r5 K5 [9 P2 P
set_global_assignment -name ENABLE_SIGNALTAP ON
8 ?5 u( l+ {- Y7 [set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp$ ~) }! q8 a3 V5 H
set_location_assignment PIN_M21 -to reset
& j# {* ?+ L+ b$ vset_location_assignment PIN_P25 -to clk
. W2 W& b( f- E7 }! B9 \1 P+ Oset_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"  _. A5 W. e$ Q
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis
; c: N+ e9 a# v& {" s+ ~set_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis
2 j6 E6 [+ Z5 k3 `, Xset_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis
- n* r& O4 Z8 A' x5 d//=================================================================================================//2 t/ Y9 S7 b, t3 A% E6 n
我的問題是,不知道為何怎麼樣都燒不進kit裡,7 H- F- f5 k6 D! D
已經排除並非JTAG跟KIT的問題!
: {- M0 H( O% K3 H5 {! E/ I請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者

1 G4 r% M2 X/ T2 R+ P9 [! C8 ~, S$ j只有WARNING
4 ~  t. b3 H$ e3 H2 w沒有ERROR
% s' h2 P( T- X( T這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??  g3 Q5 \! k6 d" K) u( T
不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者
5 f. W. W2 @5 ]" C& S
0 s  {6 N* @5 s: U/ D( w
這是program的畫面0 b4 h) Y* l; M' ]5 q7 c0 z

, c% {, x" B1 a. o1 O
0 f& q7 P! Z$ Q+ n1 Z
* r, b+ L0 A- ~6 v8 K# e這是assignment pin的畫面; f- l9 |. J3 i5 j" `0 Q7 |3 M% S7 [
5 e8 Q. I: N" C3 J3 W  e* g8 T
( [8 ?/ o: C3 J1 O1 Q2 J
9 g2 a: C4 }  F" [
這是燒錄下載到kit的畫面
' `& c: q7 i$ {5 c! v- Z5 |$ q% D- M9 G5 ~+ H% p6 o) Q
####################################################
! [+ _$ i3 g& \% V) W/ r到這都很順利,1 \2 o- c- X  t% `7 l# ~, N5 ?
但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?
% {. \" r& ?- Z! e0 k導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧
6 m% _3 {' a) {
  E+ w/ ]+ W, j- A1 M9 D* Q看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!
  [' l% U% A; f& M# [% G& z6 q; v* c1 y3 F7 d
0 s# o7 K; a8 h5 K( @" E3 V2 x
* M7 l- q* b; H2 o- J4 u( D

4 F6 A: Z/ ^- d( h. M+ x5 W9 ^3 B  t$ L( D
! _) _- u- v# H8 J

. ?/ \' ?) H1 S

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟( r% {1 ?; G0 n! W' s" Z: z" n8 Q* W
上面signaltap2跟in-system memory content editor不要亂開,
$ F6 _9 r( ]* M特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面9 ]/ H9 g2 ^  _
發展板的manual要K完, 有沒特別的jumper要設mode?( M5 g8 P5 Y/ O- p
另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,) h6 H# T! i2 ]6 I1 M  S
那就是你的設計的問題,  K0 y. p  B) A8 a) C- n6 e
這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者
9 H; A% x0 f8 K  Y
感謝各位的意見~. V- S9 C" m& x# j. _4 F
同樣的描述~1 \0 ]3 N0 ^+ m" i5 p1 t  J
我放到altera另外一塊kit→EP2C20F484C8(茂倫)
4 c& T5 j7 d3 `! j9 |1 q3 l所得出的結果就是我要的~: G, E* q- D0 D, {
差別只在PIN的ASSIGN3 J) v4 z& x# g0 M  V2 ~
這樣子可能會認為KIT有問題~
* b6 A" X2 l; e0 r所以~% X6 m7 q% V* A$ a
我又重新寫了一個0 s  m$ h: z3 ~  E, O* i
放到altera EP2C35F672C6這塊KIT~3 c, f# M* p% w
4 E/ u: r) Z- |& ~9 W* x
居然可以動作了~8 u1 V5 P) l! `% I
以下就是這段硬體的VERILOG HDL
* \* P0 G$ l% U, ~, E/ [6 c`timescale 1 ns/1 ns
* v/ b4 V" G; K# @( Rmodule chip_top (2 }' U& u+ m& B" k. s+ R1 Z: N
                  clk,! Y8 K! v" o4 L% W/ B
                  rst_b,
! K, L" \& O; A0 l* J6 p# G. z# Y                  cnt,
* q' d6 i1 u$ d+ {8 e9 ~                  seg,  1 R+ w4 I0 `: |& @
                  a,6 I" o2 s: T) @2 w& f/ [  @
                  sel,
, L& f* X2 M; d1 Q                  seg_u9,   
( q$ j1 ^4 d( }. @8 u" ^! P, s                  rst,) h& s" x# P/ t& I1 k
                  clock,
2 `* R: x& Z3 D. M                 );
7 V6 d7 o0 ?3 v2 \  
2 o& W! H  z% R' W   
& H; C/ D) C' x  input  clk;4 @7 Y0 z3 M6 Y4 W+ o$ r* S
  input  rst_b;
$ ?" S$ {; S1 G- V) M% i% X4 n  input  a, sel, rst, clock;
) [8 b$ R' S/ x% ^4 z  output [7:0] cnt;
1 s& G- y" D. s  output [7:0] seg;* m2 }+ H0 Z1 X( L$ ]3 f
  output [7:0] seg_u9;0 k6 E  i( J7 R+ f' S, I9 e
  reg    [7:0] cnt;
% ?4 L5 S: S+ C, S% W. w# B  reg    [7:0] seg;6 C. k) m# {% ?! k! e7 ?! v
  reg    [7:0] seg_u9; : J4 ^! A! F: q  ~: e$ m( A
  reg    [40:0] clk_cnt;  Y( v& A) k8 |: R( W
  reg    [40:0] clk_seg;( O$ g, r. x( O" S
  reg    [40:0] clk_seg_u9;. l8 Q6 M4 A% s6 }
    wire   clk_cnt_end = clk_cnt[20];
5 t+ _4 b, P9 Q   wire   clk_seg_end = clk_seg[20];
2 M9 j! b4 Q$ }% {: g& a' a  wire   clk_seg_u9_end = clk_seg_u9[20];  `- P) D& G% n( m' R6 X& y
  
9 w$ `1 Q$ L! K$ h1 e1 Y: V  
' y) H3 ]* {2 Z; m3 J  T! |, Z7 O//---------------------------------------------------------------
4 N; {4 H4 v) b7 H  always @(posedge clk or negedge rst_b). n6 v6 H5 l& i' @( F  z; _" ]% P
    if (!rst_b)
& `: K7 q- N( ?/ Y& g. {              clk_cnt <= 0;
' G5 F6 W3 l" Y# _    else  
+ l! x1 G6 t- |( g( L2 p/ u             clk_cnt <= clk_cnt + 1;
# T/ e# L! o# a
- a7 r& L' x7 h4 D& {5 H  always @(posedge clk_cnt_end or negedge rst_b)7 ~* j6 ^: u! u) s& O1 w
    if (!rst_b) " H5 a8 D( q& Q5 t. \8 J# e( y
              cnt <= 0;
8 t8 G, X0 s" G    else . I7 p* J( v# v+ p
              cnt <= cnt + 1;7 t9 d: p& P6 ]5 A

: J: B8 z# [# v/ R7 H" D//---------------------------------------------------------------
: R/ _6 V5 e' }+ B+ {! Y9 l$ U; ?( t) D  ?

' f$ e$ L& O) [9 e, N5 W// always @(posedge clk or negedge rst_b)/ B, K# ]- ?. y9 J
//   if (!rst_b)
( m: m* m4 P9 E2 W//               clk_seg <= 0;- o$ v/ `( d. M3 I+ m
//   else ) t5 u4 [% z) T0 H' `0 B; l/ ?
//               clk_seg <= clk_seg + 1;
% O" ?! L$ ~' C4 S* K
. {3 F3 d) M: m4 {' n+ F// always @(posedge clk_seg_end or negedge rst_b)6 f' B8 y9 r4 r8 T* \/ Y4 L7 W
//   if (!rst_b) 7 H  t9 F& o  F
//              seg <= 0;6 ?- m/ Z' F; o  l- m
//   else
7 w2 H9 a% B: I# F//              seg <= seg + 1;2 n8 |4 r7 E" I  D2 D3 E, K
//---------------------------------------------------------------     
; F" t1 P+ u; I# l" N) |//===============================================================           
) s% R: l: n7 r4 e0 s6 \- O2 m//  always @(posedge clk or negedge rst_b)$ M2 }; W6 p. u* W( M- S; C
//    if (!rst_b)! A' o0 F7 T/ t3 @
//                clk_seg_u9 <= 0;
' l" Y  Y" j1 a! k- E  h0 h9 P//    else : d' V- b- F" a( x% C6 \- X0 O* Q
//                clk_seg_u9 <= clk_seg_u9 + 1;% x; N8 \: D7 U

- R( X# J1 Y( O; u, u//  always @(posedge clk_seg_u9_end or negedge rst_b)
, w* N6 v. R2 c- H//    if (!rst_b) 9 f; F$ A  `" P& B+ O8 x/ x
//                seg_u9 <= 0;
& Y1 p! s$ S2 s2 ?//    else$ [5 _7 Y: R1 X. ~/ m' @6 K. J1 ^! m
//                seg_u9 <= seg_u9 + 1;             5 y2 i$ ~% `- W  T$ G
//===============================================================     3 I  e3 Y/ [# u2 _, U
    always@(sel or a), B5 |* M: g2 z: }) E# f7 t
   begin" h. h+ E4 T' u: ]4 Y% a
          if  (sel == 1'b1)* T1 b7 [/ |2 K0 p: @& g0 w# Q
               seg_u9 = 8'b0111_1001;              ! e; o. c8 ~8 v; `$ C1 e4 D# E3 H
    end     1 J* {! Q* l  _: N$ m& {
     always@(sel or a)8 J* X5 N, j0 G- E" h* r$ }
   begin
5 _8 |1 x6 x% C/ {, ^6 p5 b          if  (sel == 1'b1)
. ?! ^* E2 Y7 I, Z! y- k              seg = 8'b0010_0100;              2 o, v- N0 v2 y
    end  
) c& p- K# k7 @7 D//===============================================================
4 n# y& I' }3 k% h( W      
) H! h1 {+ D8 O; A! Eendmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-1 03:22 PM , Processed in 0.124516 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表