Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4505|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led
  X3 O/ t( R/ g$ h: u* ~' k4 Z7 w4 T//==================================================//9 ?7 B& J% r; _8 h
`timescale 1 ns/1 ns
" r3 n1 \( |  m# ]
/ a+ \; h' K0 \* z6 y; E8 H3 {' [  module  test_001() S& i' S% T, ~+ j' V8 u
                   D,
8 b; W4 U; T- q1 {  j' I; G                   Q,- B8 u9 T: H) }5 ~
                   clk,' E# Y! l3 [1 x1 i2 @7 w
                   reset,
6 C' p3 A5 m+ h* n  O; ~                   QB" i' Y4 h& `3 I4 T4 C: ^
                   );( t- S8 o2 S7 u: F& U6 @3 v
input   reset, clk;
8 b' M5 m' ?! j/ _+ O+ minput   [3:0] D;
, S) t2 I& b% }8 q. Joutput  [7:0] Q;
6 T+ [# Q) i1 \  w% \3 Houtput  [7:0] QB;
- M" a4 v9 I: y5 x, hwire    [7:0] Q;
  Z/ l7 O; |" ^- \1 ]wire    [7:0] QB;- J4 Q$ j$ `& _3 |# w' x( {
reg     [7:0] X;
5 Y! l" f* x5 S6 a2 s0 [; wreg     [7:0] a;
& s8 ?& X' t) N& z! y' g
7 p1 k4 g8 u/ I
; p& D# h% s6 g5 M1 g7 C
: Q7 R% z) C: A" ]/ K) L) p( c2 Y+ Z  X
always@(D)9 o' X# p' n! {: X+ D
  begin) U. @9 D2 j" {, E
         case(D)8 t" J3 K6 i  V# K+ I& n
             4'b0000   :  X = 8'b0000_0000;7 b5 Y+ V+ t: S
             4'b0001   :  X = 8'b0000_0011;
0 x- H; |/ R4 m2 D' B             4'b0010   :  X = 8'b0000_1100;0 ~. H: G$ b" @- B1 }+ x) q  \! h
             4'b0100   :  X = 8'b0011_0000;
2 Q* R5 m; A: j' P! n* v8 J             4'b1000   :  X = 8'b1100_0000;
" o; q# r9 M/ X             default   :  X = 8'b1100_0011;
* X" F$ p& o* ]8 \2 P, K         endcase   
: k8 I- O! z3 g/ w. F0 X: v  end            
: Z$ a0 M1 N: a" P9 y: v+ R  8 B8 N9 b& X, z" O. e- z
assign  Q =   a;
) [1 b& o/ a2 N  ~assign  QB = ~a;; O0 T4 N' z9 R0 i) _
            
% X8 K* s+ J7 L5 @" a8 N: nalways@(posedge clk or negedge reset)
% m( U0 F* J$ A8 H6 X; y4 J  begin# Z9 e$ t, \7 T% y% q* \/ W
     if(!reset)5 g% V. f1 P% ?( b  z0 H
          a = #1 1'b0;4 _: z' L$ D' b; X* {
     else
2 i4 B% r1 W: Z8 H3 C. I          a = #1 X;. Q; _) Z% H; ], L# x1 k; N
  end                                  9 s: C: u% z9 b! L0 Q0 T
   
( h; W% @3 x0 s4 A% Y  endmodule
( ~+ E: V2 l1 o//===========================================================//# y  f, r% x8 j4 w
然後以下是Quartus產生的qsf檔。
3 z6 C6 R% u; g//===========================================================//
* x& [! z$ z  Z7 e2 @6 Q) z  ]# Copyright (C) 1991-2006 Altera Corporation0 c9 L" v( J! x
# Your use of Altera Corporation's design tools, logic functions
+ V7 o9 y; o/ T* P/ w" S; P( h# and other software and tools, and its AMPP partner logic
( P( P8 H" e  q& X# functions, and any output files any of the foregoing ! g% B$ l% v& d' K0 g9 h
# (including device programming or simulation files), and any 2 L+ s$ B, g, y) R
# associated documentation or information are expressly subject
' a, D2 S$ h: c. X# to the terms and conditions of the Altera Program License
+ t9 s2 w) b. q2 l, @# Subscription Agreement, Altera MegaCore Function License
& L7 n: L: O0 J0 q3 T# Agreement, or other applicable license agreement, including, , Z8 V+ C6 F1 c. D2 B' [) H+ R
# without limitation, that your use is for the sole purpose of , l" J0 o$ B; Y9 C, {5 u
# programming logic devices manufactured by Altera and sold by
) \9 ~2 @: {: D* f# W: s. T: j# Altera or its authorized distributors.  Please refer to the
3 @4 \! u0 j' q* [/ g! D/ |# applicable agreement for further details.
; N1 F0 h! c2 G% }
% e4 ^; R! {- h' w
% x0 X8 Q1 {# B: F* b, M; K# The default values for assignments are stored in the file* X( n$ E4 x& I8 b0 Z: [
#                test_001_assignment_defaults.qdf
! e5 l  Y& T2 k2 V0 |7 l9 N; ]# If this file doesn't exist, and for assignments not listed, see file
1 W" S3 ]8 ?9 [: o1 `. B#                assignment_defaults.qdf
! T+ j& b  a" S
+ Q4 t1 \- f. L! E& C  a5 ~/ `2 l# Altera recommends that you do not modify this file. This2 c4 d4 h6 w9 A
# file is updated automatically by the Quartus II software$ [, }4 q- X' w: z, y
# and any changes you make may be lost or overwritten.; X$ p, {+ x; V% Z, @/ F

7 g* K/ P) L% f: h) v2 r4 p; Y
* F: ?  Z% ~( P; Y8 `$ Eset_global_assignment -name FAMILY "Cyclone II"* ~! T  p, J- {# b2 ^
set_global_assignment -name DEVICE EP2C35F672C6  `" s/ Q; ]/ y! o! R/ }5 o
set_global_assignment -name TOP_LEVEL_ENTITY test_0012 n, f0 K3 `  H  E( c/ X% I
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0" C6 _7 ^7 t" q
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"
8 E! P" o0 P: O0 _  mset_global_assignment -name LAST_QUARTUS_VERSION 6.0
  }) P9 D8 k! T) e2 Hset_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"
% \8 S( F- u" ^& D, sset_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
" P/ n. Y1 n6 X3 w4 G& qset_global_assignment -name VERILOG_FILE old_test_001.v
4 d9 S# E( O- \3 g& d( nset_location_assignment PIN_Y11 -to D[0]
( O2 v# a! Q# \8 f3 K5 Oset_location_assignment PIN_AA10 -to D[1]7 a/ e& ^* O3 [' u# M3 Y" N
set_location_assignment PIN_AB10 -to D[2]
) d$ T& `, A* D7 }, N: d# ]set_location_assignment PIN_AE6 -to D[3]
& e8 z- I0 N6 D6 vset_location_assignment PIN_AC10 -to Q[0]
9 q( _! q1 ?% P, `8 T0 V2 hset_location_assignment PIN_W11 -to Q[1]
) D( V# ?( a/ G$ i4 |( Tset_location_assignment PIN_W12 -to Q[2]! W. c- w1 j7 p+ K9 @$ d
set_location_assignment PIN_AE8 -to Q[3]
5 X0 m7 D- h4 F& t  x. N9 _set_location_assignment PIN_AF8 -to Q[4]
+ y0 w+ m# f$ iset_location_assignment PIN_AE7 -to Q[5]5 `* |  h+ G" x' j
set_location_assignment PIN_AF7 -to Q[6]
/ r- I1 p) B* e; p2 {3 Sset_location_assignment PIN_AA11 -to Q[7]8 y2 Y/ q) e* b2 W$ t- f2 ?
set_global_assignment -name SIGNALTAP_FILE stp1.stp' t1 D$ [& v4 N; i8 N) f8 E
set_global_assignment -name ENABLE_SIGNALTAP ON
5 o! X$ U$ s( Q6 n1 [' Vset_global_assignment -name USE_SIGNALTAP_FILE stp1.stp4 x; j# m# N8 x' |) |
set_location_assignment PIN_M21 -to reset* p7 R3 R2 Q* g2 c6 a: N
set_location_assignment PIN_P25 -to clk* e* X/ q0 F* o7 ~+ r, P
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"' T- q. L7 \- p6 I& u8 H- _
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis
6 P& E0 f8 i; U7 Eset_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis3 R' U( d0 l+ ^+ P$ Z2 X
set_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis
! n" H% c! q# _' d, ~4 Z//=================================================================================================//' Y  M5 M* k" {" |5 T7 l* G8 l
我的問題是,不知道為何怎麼樣都燒不進kit裡,, b# [: ]( I6 m/ g$ t2 L
已經排除並非JTAG跟KIT的問題!/ W- {# \4 e- y3 r# O
請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者
- \( c. K5 f) P( Y8 }+ n
只有WARNING
* A2 x% l0 j: y5 k* s! o沒有ERROR
3 O9 T! B7 z* Z* {9 L. W/ Z. f. e這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??% Z  y1 F0 x1 l% r$ F& a% n4 {
不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者

; [4 ]5 f6 o3 p) k: p
! ]3 g1 r9 f7 o" }6 g* g這是program的畫面% f! i( @. K" T5 C. n& u  S
3 b" T) ?& T& m7 b4 g

0 r: |' ]( X! Q7 r6 G* M' n( ]% I5 ?
- H1 y# w1 X9 @: Y1 T0 @這是assignment pin的畫面6 h  H7 y0 j1 }, R8 g

  l, F/ G" U+ a5 f2 P
9 D. c! J/ T; ^5 l
! K! Y) Z6 e: l+ ~這是燒錄下載到kit的畫面
5 v+ l; d0 [, @5 m1 J- |* U
% R! Q; [4 C7 y7 g  P: {2 P- t4 p####################################################
0 N/ E; t  L$ [# G到這都很順利,
+ F- I  z& S, e2 ^; z4 `+ G但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?
7 g' `1 {) C8 Y/ H( P+ X: Z導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧9 h3 \+ k4 w& s8 o2 S
% e3 i; W% V( h+ R# |+ _( b
看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!1 H$ ~: b0 z6 F/ N; c+ m2 w  D: X+ M

2 z4 |& d( ~+ \1 ]( y* u8 w/ z% C) @' J

8 T' H% \( P1 |3 x$ |
+ e  d. d7 ?" x6 A# }" n
0 Z/ w( L5 a5 k% U% k' K( t
  D6 J- Q, @; X8 X6 k% O  ]
4 L8 |5 W" j) Q9 A! P  I2 F

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟
) j2 [! k/ q; K( U( Y! L上面signaltap2跟in-system memory content editor不要亂開,
1 D! j: Q1 a* L: \6 Z& y' @$ k" {特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面
! L# J, r0 M# a' D7 N# s: N發展板的manual要K完, 有沒特別的jumper要設mode?6 q) |1 Q( ]7 Y9 Z$ f) a
另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,# v& c  C$ L3 s/ o# P9 Z, A7 Z
那就是你的設計的問題,
# F; |+ D3 n2 f+ p* @+ ?這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者
2 x! l+ {, c" |) S7 f* O& b
感謝各位的意見~& n3 e( p5 S# g6 C2 h. ]% w+ Y" U+ n4 Q
同樣的描述~
3 ~1 t- I( a8 S; D3 Z; `我放到altera另外一塊kit→EP2C20F484C8(茂倫)
# L6 [, v9 ^- G# H& b3 H& Z+ ~; Q所得出的結果就是我要的~
# o! E% V' {0 x, W差別只在PIN的ASSIGN
% m, {) }" h8 z8 o8 W這樣子可能會認為KIT有問題~+ m8 m6 U4 G, [0 q+ f; R
所以~2 U( [5 o) t6 z8 M+ o% f
我又重新寫了一個) b+ s# x: s6 n$ Q
放到altera EP2C35F672C6這塊KIT~0 W% X' g: a- E8 l* u/ W1 N! i8 o

" y6 A7 K3 W! E& r# D: b居然可以動作了~8 v/ z7 e, @  W4 R$ d9 C% P) W
以下就是這段硬體的VERILOG HDL
! S5 E9 X5 p" c' K2 Z: \3 R5 n`timescale 1 ns/1 ns
9 k1 M6 }$ H# [2 n* Imodule chip_top (
( Q9 ?  Q# g1 [( s- Z* X/ [                  clk," H8 x0 P5 ^" o) x" W3 ~. c
                  rst_b,
5 H1 P7 q5 M) \5 k! w                  cnt,% p/ |1 J* ?0 h; E4 U
                  seg,  ' X  X& g  F0 k
                  a,$ W  m& F2 ^/ o
                  sel,
5 J7 p( @* V# o# j; g                  seg_u9,   
8 N0 S( E' t. @5 o9 E: p6 t% p                  rst,7 M/ R* n. q2 Z6 b. C6 D
                  clock,) Q6 \% P2 Q& ~& p# p* m" T
                 );+ r' p- z' f4 V
  
) ^5 n4 @! G7 t3 l   & \- O8 w* U! _; u( c$ n1 S
  input  clk;% z4 Z# {" N5 |: D7 }. q! o( a
  input  rst_b;
1 F8 s3 i6 i% F8 @+ D3 d& P" ]  input  a, sel, rst, clock;
) \, Y( x. e7 |% M  C5 v  output [7:0] cnt;
8 x+ s1 \  i1 Y1 Q  output [7:0] seg;6 i3 ?) G  s- P( i8 l: C$ d
  output [7:0] seg_u9;
" a0 Y! J' c$ r) O  W9 w  reg    [7:0] cnt;
' ~: {5 e0 G! ~7 h8 h  reg    [7:0] seg;
+ K0 {! k1 ?+ i6 ?  V2 ?  a  reg    [7:0] seg_u9;
1 ]3 q! Q! J& w" T- N9 }4 N+ m  reg    [40:0] clk_cnt;# j$ G! B. V1 Y! f. N, ^
  reg    [40:0] clk_seg;
0 M+ b  a. o: N2 x% }: |  reg    [40:0] clk_seg_u9;) c4 _5 E9 e& ~* W
    wire   clk_cnt_end = clk_cnt[20];
1 i# Q4 Y" h; @3 f" W6 M* [6 [8 ^" R   wire   clk_seg_end = clk_seg[20];* u8 S9 I& ~  A
  wire   clk_seg_u9_end = clk_seg_u9[20];% e0 L  }' E' E; w; s6 v! z
  6 {# |% g: M" N$ R2 l
  : ~: O" D& l/ S- ~
//---------------------------------------------------------------) ]. E& p  g$ D7 W
  always @(posedge clk or negedge rst_b)
/ k2 Q1 g; L9 Q- c9 j    if (!rst_b) . G& _+ z8 j2 A% m; m/ v" q
              clk_cnt <= 0;
" _: }( X  F: B& F9 O; u    else  ! ]( v1 s0 U% }# A
             clk_cnt <= clk_cnt + 1;
6 o% ^1 C  Q$ \% ^( a
- ?9 |; z* M. O; ^9 [! F+ P" D& G- `  always @(posedge clk_cnt_end or negedge rst_b). R* q+ n: Y& r' e6 f7 ~- ^
    if (!rst_b)
! S* _- c: }% N, A! u              cnt <= 0;" @( t8 o; N+ F2 K) I
    else 6 d1 a# C( w" l4 b
              cnt <= cnt + 1;' ]6 z% }5 ~9 z6 j! Z* k2 {1 K
( X& ]$ O8 ^3 {: |* }4 u
//---------------------------------------------------------------
& }1 t9 m( r8 c/ h) f( i$ c% b  O$ P

0 g1 N  R' B- }- l1 Z" Z- K4 }// always @(posedge clk or negedge rst_b). ?! k2 X2 X$ _+ |5 ^
//   if (!rst_b)
4 c8 B, v: N* P7 c8 Z. G//               clk_seg <= 0;" ]" e5 i' d  v% V9 v- Z* H
//   else
* [- Y2 w) J! [- Z. e1 `' O//               clk_seg <= clk_seg + 1;: q. G* ?  V1 k2 b2 R

" Y8 O* K& ^- ^' q7 a# ?// always @(posedge clk_seg_end or negedge rst_b)
* d2 |+ q5 ^  |! @! ?//   if (!rst_b)
! X: a* n! |0 {7 f8 w0 S//              seg <= 0;
: N) Y5 R" R: x5 G" G//   else
) E# {8 N+ o( }. w//              seg <= seg + 1;
5 f  E" g- {1 D/ E+ ]# V//---------------------------------------------------------------     7 Z% q. _6 b8 ?6 Z$ G
//===============================================================           ) T* @" N4 w8 ^3 y# T/ o/ C5 y
//  always @(posedge clk or negedge rst_b)
' X0 ~( O7 C. l3 n- P& J- {//    if (!rst_b)1 {" i; h2 Q( q5 x$ b& w0 U, q
//                clk_seg_u9 <= 0;( {+ Q4 N5 v+ }* T8 s/ ^
//    else # d- C( j2 [0 d) v& G8 L7 c
//                clk_seg_u9 <= clk_seg_u9 + 1;
: S2 ^% a/ z9 y1 j2 w1 O. S  }7 q! R$ V. \
//  always @(posedge clk_seg_u9_end or negedge rst_b)
. I& h$ z6 u9 [% N- O" g. I//    if (!rst_b) 5 M2 w/ j3 y. Y$ Z1 N
//                seg_u9 <= 0;
7 {7 d8 o4 }6 y//    else$ d! ?! K  X) J2 {* b7 {- K, S
//                seg_u9 <= seg_u9 + 1;            
6 h' a5 e1 R; D" t9 H  \//===============================================================     
8 U( o' ]; M9 E! k& X    always@(sel or a)5 R2 S1 g- [4 t# ?
   begin% C2 I0 O; ?) \" U1 \
          if  (sel == 1'b1)
: ?% o- i  g0 Y3 R- B+ V               seg_u9 = 8'b0111_1001;              
) `7 o6 l! E+ A( B    end     
  z4 h4 J* y+ t# ]2 K8 q0 b     always@(sel or a)! i9 g0 k! Z, U1 J' M4 n& u
   begin. B* j- s# Y/ w  E
          if  (sel == 1'b1)
3 Q# B! T4 Y1 s' H              seg = 8'b0010_0100;              
  H; s, M5 z% L8 {/ o4 {$ E4 ?, j    end  . A' f1 c9 h; m& @! H( f0 d% i
//===============================================================
% |: c2 C  z: P! m3 }; ?0 e4 F      
4 d/ q. @$ f$ U; Aendmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-7 12:50 AM , Processed in 0.146018 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表