Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4506|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led2 c( ?  r" \8 D
//==================================================//* A0 c" @" `, ^/ Z6 j) c
`timescale 1 ns/1 ns
( N: u% [9 ]5 f6 y: [3 _4 f" {7 V* Q2 s! ~% M
  module  test_001(
4 A: @8 f  s9 o6 W/ h3 `5 x                   D,
1 T. z3 r  @: p/ M4 `( A                   Q,4 d3 l: x, r* ?, A8 k
                   clk,
/ e/ Q5 B2 ^. U) S% q: J  D                   reset,
9 Y4 R  P' D, j, b: Z8 }) o+ y1 m                   QB
, r- D- ^0 \& K# }* ^                   );
& l+ W7 }. `, w% ]8 W( ~$ {" Linput   reset, clk;
9 X* O* T8 q! k  m) m1 Oinput   [3:0] D;* Y' C" ~- S" R+ k
output  [7:0] Q;
4 ^/ ?+ I! A) s: B$ e; h3 p1 Koutput  [7:0] QB;% M" h0 b$ g! G/ Q, }. q
wire    [7:0] Q;  r. d! `3 C! M- i2 q" D
wire    [7:0] QB;( F7 K: o( [6 D5 b6 f% d
reg     [7:0] X;0 J( n' B# ]5 n! i! S! j* ^
reg     [7:0] a;8 G8 B' V0 O: U% ^( G

& n* R6 D3 v9 g% }; \: H% O8 E1 Z- U& M$ ?
  m. [  e7 ]: t  S+ s+ V. P9 R
$ s7 k+ ~" G0 I4 O
always@(D); N# O; t" ~# E! f# h3 l( [
  begin
3 C' b$ c* M0 l/ l. z! ^         case(D)+ N9 \. r9 L+ |
             4'b0000   :  X = 8'b0000_0000;5 f8 h& v3 f- `0 s! {, {2 \
             4'b0001   :  X = 8'b0000_0011;% B% a8 _( p7 j
             4'b0010   :  X = 8'b0000_1100;
6 d7 L* a( K7 V             4'b0100   :  X = 8'b0011_0000;: Y4 R3 c- p5 y5 B9 t! M$ j
             4'b1000   :  X = 8'b1100_0000;
1 ?( U9 M6 }5 o& m( R- R             default   :  X = 8'b1100_0011;# f: j; k2 m: S3 t/ X
         endcase    / `. z" x# V; [
  end            
1 R$ m3 `: _" V5 b, L8 x  ! Z9 W6 v1 X8 [! V, H1 I+ X1 Q
assign  Q =   a;$ w% Q; t+ W; ?2 m: Y& a* a" f
assign  QB = ~a;
: v. K7 }7 H0 ?" n* V            
/ ?: ]+ j* L" |! Z4 ~: Xalways@(posedge clk or negedge reset)# F# F2 T& I( J7 h8 F, u: h7 I
  begin
0 e* O( H) j# H) h     if(!reset)
. j1 \3 _' I6 ~7 {% T7 S" `$ _          a = #1 1'b0;: `% {# J" j' W, d( o* l: b: d
     else. _1 L0 R! A0 B' ^- P
          a = #1 X;
; p7 U5 M: E1 U0 [  end                                  ! E2 Z7 g& ]3 j; A; b8 ^3 D/ E
   6 \  e1 Q- B0 A* [
  endmodule. k: G4 p4 I1 j6 E& C& W% X
//===========================================================//! ]" n. o, M  u% L* n4 u* h
然後以下是Quartus產生的qsf檔。
7 v, ?# W' `3 q/ q* B( J//===========================================================//
/ ^) o  A" ?# N, y% D0 q: u# Copyright (C) 1991-2006 Altera Corporation
- v0 ^, E) z8 d% _) t$ m# Your use of Altera Corporation's design tools, logic functions
% O  y; B" Y: u* ?  A. D# and other software and tools, and its AMPP partner logic
3 H+ }& c( e% j$ |6 Q+ z8 e# functions, and any output files any of the foregoing
% Y$ H1 ]8 n) ?# (including device programming or simulation files), and any
  v/ M) }1 r0 a; Z: A: d# associated documentation or information are expressly subject ( k* p/ W2 w2 \
# to the terms and conditions of the Altera Program License
; {) C$ l2 e' c7 [# u. r( H" H* F# Subscription Agreement, Altera MegaCore Function License
$ k6 u9 O; p  z$ Q1 X3 k8 ^# Agreement, or other applicable license agreement, including, ) R8 ^6 Y& J7 [1 k% d  }/ n: E# I
# without limitation, that your use is for the sole purpose of
5 B3 z/ b; `, f3 x) e2 ]5 u* p# programming logic devices manufactured by Altera and sold by
0 z/ R  A* Y* n! b6 z! `# Altera or its authorized distributors.  Please refer to the * d1 T% U# j0 G
# applicable agreement for further details.( w6 m7 c; o2 C1 w3 M
8 V4 ~4 f& w4 l, M) c9 k
1 d7 W& c. l9 \8 u& y
# The default values for assignments are stored in the file2 J  }% F! y- h: J$ u7 X. w/ W; b* o
#                test_001_assignment_defaults.qdf
% @* K5 R( q/ }" u# If this file doesn't exist, and for assignments not listed, see file6 t" A3 m1 X  o# Q( ~; n# ^+ m
#                assignment_defaults.qdf+ L" Z* g' g! }: \
& H2 ~* S7 c6 ^8 s" S+ K/ D" ?% Q
# Altera recommends that you do not modify this file. This
# b, `+ f5 {/ J' x8 J6 Y/ R" V# f9 \# file is updated automatically by the Quartus II software
& M1 i/ N2 f! I0 p  `* h3 K  x5 g# and any changes you make may be lost or overwritten.
4 l( D! e" _7 ]( |; E( X0 h, I( ~! T0 g; m/ f( R
+ i" I7 z! k$ D% U$ z1 R
set_global_assignment -name FAMILY "Cyclone II"5 F' z9 q7 J! w2 \5 v& r- G
set_global_assignment -name DEVICE EP2C35F672C66 s( `; y2 f" y; [$ [; F
set_global_assignment -name TOP_LEVEL_ENTITY test_001
( n: _: y) {- Z; Y9 o; Nset_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
+ R" }& H7 Y9 C! h8 v. [( mset_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"6 i$ T8 I  G) _' G3 h+ v
set_global_assignment -name LAST_QUARTUS_VERSION 6.0- B! Z+ N/ B/ m
set_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"
, ]) G9 p2 W; f# Mset_global_assignment -name DEVICE_FILTER_PIN_COUNT 672; U- Q5 A7 S2 j0 \  u. B4 U
set_global_assignment -name VERILOG_FILE old_test_001.v$ q9 R& t5 x2 K3 T# [. ~' Y: @7 ~
set_location_assignment PIN_Y11 -to D[0]9 t- Q* I8 M! ]# [) D
set_location_assignment PIN_AA10 -to D[1]$ v$ ^; ?. Y) \5 @6 @  Z
set_location_assignment PIN_AB10 -to D[2]/ z3 r# F+ \% c, ~$ x) p# Y
set_location_assignment PIN_AE6 -to D[3]
9 S1 O  g; a) t+ @% m: dset_location_assignment PIN_AC10 -to Q[0]
6 h' h/ M, m/ o" w; z1 O2 _4 B: ]set_location_assignment PIN_W11 -to Q[1]4 P* e+ b0 s( |) Q. ^
set_location_assignment PIN_W12 -to Q[2]
% `" @9 C9 }; D+ v8 g6 ^. Eset_location_assignment PIN_AE8 -to Q[3]& j" A+ ~- q- G" [+ B; C
set_location_assignment PIN_AF8 -to Q[4]& `5 ^% g" T; ^" T1 P4 s
set_location_assignment PIN_AE7 -to Q[5]
1 E1 G5 F2 L/ M' e. l9 j' A/ yset_location_assignment PIN_AF7 -to Q[6], ~9 }3 R/ S# O
set_location_assignment PIN_AA11 -to Q[7]& L* }" f9 e0 k  D
set_global_assignment -name SIGNALTAP_FILE stp1.stp
9 t- i+ T( e8 [  ~* x* u" ]3 ^set_global_assignment -name ENABLE_SIGNALTAP ON
( R$ S  ^: v( @. Aset_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
3 w$ H" m1 D  b/ ?  B! X1 Tset_location_assignment PIN_M21 -to reset
" r" L% _0 c, C) Vset_location_assignment PIN_P25 -to clk. P$ E5 t( o, j5 R9 r+ S4 r# d
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler") |. |8 m# U  |7 A' M1 V
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis
( O- I6 y' I& m4 Pset_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis3 _. v8 a8 q# j/ G) D
set_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis
7 K, G$ D- Q0 @& O; S( b# D//=================================================================================================//! K" m/ E$ E- d/ {7 I
我的問題是,不知道為何怎麼樣都燒不進kit裡,5 r* u& H  R# @2 G3 v- p
已經排除並非JTAG跟KIT的問題!
" W4 F! s6 J7 ]請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者
  N* h4 g8 ~! H7 C; Y
只有WARNING+ Q$ f8 ~. a' g' I$ C
沒有ERROR
' B. J* f& K* x" C* `  _5 N# E這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??/ j% ]% P; W$ Z6 J, m* b
不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者

( Q" @+ i. p' v! W& X$ \: Q+ J5 S6 Y" }8 p. W) ]) V5 ^
這是program的畫面9 d. K6 r+ d' z& U7 Y' F/ S: I
# W# h. F$ B$ A  u9 r5 f( d7 `
5 F# n  |1 l8 E0 F& t

8 f, ^$ L, t* r  e+ X( m這是assignment pin的畫面
) {! O+ d8 m) ?
# u- U% `" d9 \" t+ E& N0 v% f( R* F& Z/ y# A% z$ D
8 V; ?- U6 ~) x
這是燒錄下載到kit的畫面1 ~- A( j# \8 d! ]% K2 _
2 s4 y* d) l  _" t8 v9 U% w
####################################################
: O0 _' R/ O9 T9 J3 ~) n到這都很順利,
( J# H3 Z7 a. Q5 z" }+ g  x但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?3 \5 Q) U1 G8 ?  j% X" |
導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧
, N  J) ~' z5 S0 {( F
3 M/ u$ m& j2 Z看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!
( f' k6 N4 u$ G0 y2 @  a
# H. @$ d7 ]* r5 u+ f2 H$ G/ K9 }6 r* X3 Y) s+ y5 [. ?
7 w% m. G, [9 O. n8 m( M
% D' }! y) u/ o+ c$ g
) J: S6 ]" a) `6 ~7 Z2 V/ g3 J

1 s# R7 J  X4 _( x/ ]' I: [- G2 _; o

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟
2 ?7 R, P7 s5 D1 n8 @" }" Y" n上面signaltap2跟in-system memory content editor不要亂開,
, j5 U( |: a8 Q# i  X% |特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面  D& M( `% u4 i  Z: D$ p6 Y
發展板的manual要K完, 有沒特別的jumper要設mode?. C, l; q! J  \* |( }, |: Q2 W
另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,
+ `8 u# d& S9 w* p- A7 E% f% q那就是你的設計的問題,$ v% F- a- C/ I
這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者

; @; P# S& K" u3 F; z( M感謝各位的意見~' H' P: o, g* D" M: V4 R( k6 Z
同樣的描述~6 S, a: Y* f; ]* Q: I- B
我放到altera另外一塊kit→EP2C20F484C8(茂倫)
* ~9 V6 u* m% \) \3 K$ r所得出的結果就是我要的~
' J3 Z. U5 |, B差別只在PIN的ASSIGN
8 D# `0 ~  }  D% o) _  L這樣子可能會認為KIT有問題~5 k& P2 r/ [8 R) H! A3 U% D
所以~# [# G. b4 Q" n9 j
我又重新寫了一個8 u5 p5 z3 j3 E6 R+ N
放到altera EP2C35F672C6這塊KIT~
9 Z5 w3 c1 C" {9 @. C- w6 C, ~
* ]# H1 t# c8 E/ R居然可以動作了~) i: }9 O$ q9 i% v& C% l
以下就是這段硬體的VERILOG HDL
- R! a- j- \0 p% \7 g- o`timescale 1 ns/1 ns+ E& Z  i7 z, M* m2 N, S8 A
module chip_top (
( s% @9 A8 d$ o5 G                  clk,
# ?% D! x) U  K                  rst_b,+ H) H( _8 V3 P$ W
                  cnt,
9 Z4 x/ |( R0 m1 c                  seg,  
' V# }* k% T8 I% _. _9 r                  a,0 F  Q5 Y3 X6 T' p
                  sel,3 U' _, {" k$ d4 g- {3 k
                  seg_u9,    3 E) J, Z8 B  e+ x
                  rst,2 d- T7 A# x2 r5 q1 r
                  clock,
2 |# l  U+ ~# |* B) f% y                 );
, f" @& _/ o- o( s9 z, f  
9 b! m* }4 ?' \$ c+ Y7 j6 J   
+ ~) m! K9 J% p6 ~  S# a6 J. b% C  input  clk;5 }0 w* ?4 Q4 Q7 P) L7 i
  input  rst_b;; l' U# i2 w( b  s  G
  input  a, sel, rst, clock;' O' ?# u# [, N% _2 U' S
  output [7:0] cnt;* m9 J) u+ O: F+ ^
  output [7:0] seg;
& @/ K0 R& W3 M5 x6 P) M  output [7:0] seg_u9;
& H9 [  ~  q+ W: `  reg    [7:0] cnt;3 W0 k7 p5 @+ G6 ?
  reg    [7:0] seg;
( A8 e, }# Z  X; @  [  reg    [7:0] seg_u9;
/ y& L# f- m' E; f  v# M! y1 x2 A  reg    [40:0] clk_cnt;1 Z6 r  k. q' F2 }6 }
  reg    [40:0] clk_seg;
7 f9 o# M: V8 W  {" N  reg    [40:0] clk_seg_u9;% {: g: C8 j7 h( L/ Y" D1 f1 n+ e2 J3 w
    wire   clk_cnt_end = clk_cnt[20];6 m$ \4 w& Q7 P: c( U/ a
   wire   clk_seg_end = clk_seg[20];: ^, D; v: Q( ?) S
  wire   clk_seg_u9_end = clk_seg_u9[20];* s2 s* }; O1 l& B& s& S% Z
  ' W# H! m( P& c: A% E
  
  Q  m1 k- K/ J* q. V//---------------------------------------------------------------
! C$ H/ x% o% D$ z5 f7 u  always @(posedge clk or negedge rst_b)
( c; \# |9 c; a6 B; p    if (!rst_b) ) S7 v' Z4 j5 D/ G8 X6 b& Y
              clk_cnt <= 0;
+ |. Q2 p0 b8 a* L. y9 {. F. c, ^  y    else  
" H- Q9 s0 B" v) V) S4 ^3 _& k             clk_cnt <= clk_cnt + 1;
. {5 U! Y" x% G0 Y+ x1 @7 E1 }" V
+ @( G  H) _7 b, v. ?2 x7 j  always @(posedge clk_cnt_end or negedge rst_b)
! ]; Z2 r" O0 Z4 N2 t( y/ G    if (!rst_b)
( O! A- s; ]6 V8 D5 Y6 t9 y              cnt <= 0;4 ?3 |2 B3 w5 x
    else # \6 W; B2 m, _( M2 i; |
              cnt <= cnt + 1;+ ?" W3 c6 n; U- u

3 T0 ^& M/ F% l1 X: }5 Z//---------------------------------------------------------------
# `, @: n6 @& Z  I0 K" `
. S. T- c8 O" J4 Y
: d/ ^8 D. w  K+ L. H* ~// always @(posedge clk or negedge rst_b)# h0 I# e/ J# {* [  U  ?
//   if (!rst_b)4 Q& Q9 R1 u# e
//               clk_seg <= 0;
- ~" q8 n0 _% G4 d; x! }( z6 r+ W//   else
: v! k3 J5 C. X- `, G! @//               clk_seg <= clk_seg + 1;: ]& W) z% S+ j$ |9 c5 v
$ T  {3 B* o: Z) G: U
// always @(posedge clk_seg_end or negedge rst_b)9 w/ }, m: |9 G0 o9 ?- r7 z6 y, q: ?
//   if (!rst_b)
6 a! m1 Y5 s% a2 X& @3 e8 F//              seg <= 0;/ @% I- c! M% J% v; t7 k. F2 G4 ?) U# b7 @
//   else* `# p' `1 v0 Z
//              seg <= seg + 1;( h0 S- C: t% L4 t
//---------------------------------------------------------------     
4 A* m- I7 W3 g6 d# a. L//===============================================================           
6 G0 E) q7 d, Z* w; ~4 F8 D//  always @(posedge clk or negedge rst_b)
4 O2 q, E3 T0 c, {//    if (!rst_b); E  K( y% ^, A% ]( x
//                clk_seg_u9 <= 0;( f/ @" }. x" t, d. H# P; c9 q
//    else * H0 I+ z" B  u+ R0 I4 I% S
//                clk_seg_u9 <= clk_seg_u9 + 1;
2 ^7 d+ |: i7 ?1 G: c
- i2 N5 ^4 J2 B* W( s; n//  always @(posedge clk_seg_u9_end or negedge rst_b)
* W) O4 Z, h4 H4 m2 w//    if (!rst_b)   _6 K; p5 X( ?; B& {
//                seg_u9 <= 0;2 H0 [8 _( {/ G1 p) b, H
//    else2 j4 K: a/ }- K  i+ S% ?
//                seg_u9 <= seg_u9 + 1;            
* \/ S/ c' n5 I4 w+ K  [//===============================================================     0 j1 ]4 I5 s+ V: N. P' _# ?* k
    always@(sel or a)6 E+ J0 w" K  M) D
   begin
* E8 B4 p( r: c+ l          if  (sel == 1'b1)
& q+ x/ z2 l- G# h               seg_u9 = 8'b0111_1001;              * k& u& v: o* F% n
    end     ' ]& D2 @, o1 c1 g, x
     always@(sel or a)
, g! c+ f4 j1 l% d' F   begin
# W- \3 q: C3 r          if  (sel == 1'b1)
; G4 d- C' v+ F0 C  ^              seg = 8'b0010_0100;              
: k" T: D! ~4 l3 \) V" T/ m  G+ U    end  
+ ^' o  [; v& m% h% ^  B//===============================================================# i2 N" W9 X- M  ^  F8 [# B
      * a8 o; H. N2 H3 l. x2 a" {5 P% O& B
endmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-7 01:28 AM , Processed in 0.147519 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表