Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4516|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led
" w3 ^9 Y, S1 u5 T# f//==================================================//3 S) Q0 o8 z3 R4 W2 U
`timescale 1 ns/1 ns# ~- }" K% h0 K2 Y

/ q1 B+ O9 f+ J' k8 X. j  module  test_001(
$ A6 C; I4 y; V! m0 I; ^                   D,) a' c2 j5 A6 ~: n
                   Q,5 }! D, o0 i. `/ Q$ O; |' ~' P( Q
                   clk,% c3 B. T' D1 A
                   reset,
, F0 N" @- w0 X( _) o                   QB
- k* U) N7 G1 R, Y& C6 d                   );
; j% c* z) y" H0 U, xinput   reset, clk;2 }7 l2 ~. V$ m# b
input   [3:0] D;
) g) e" V# K2 X6 C4 \output  [7:0] Q;
) D; j" L5 Y) r7 Soutput  [7:0] QB;
. R, ~+ H" F( Z8 y" D2 _; l/ Qwire    [7:0] Q;
' D& @2 a3 D. j  l( |1 Q: H. Awire    [7:0] QB;
/ a& x; g  d1 F; g+ \! Q9 \# qreg     [7:0] X;
, t6 E& C- [* J% W  H/ I0 U+ l$ ]3 Dreg     [7:0] a;2 b( s4 y. [# N& F

; g0 Z2 `; Z, C( E; L
" W" y, p3 q3 R: P4 M8 ~& V8 s: O2 A) G! i! X/ n) }, s. a$ P) X
5 ^. K9 m2 _" l. {" a- k0 Q
always@(D)" P5 c3 N; t# G
  begin
9 b$ V  l9 _$ Z         case(D)9 L5 Z0 Y! J; o4 b2 s* j4 q& Y+ s
             4'b0000   :  X = 8'b0000_0000;1 @, s8 z; a! J8 P
             4'b0001   :  X = 8'b0000_0011;$ q; [2 c2 B* Q0 a
             4'b0010   :  X = 8'b0000_1100;
! V0 P2 H& `- S3 s0 S) F0 b4 G             4'b0100   :  X = 8'b0011_0000;# n$ N, c+ X/ i6 [* \1 D; Y1 R
             4'b1000   :  X = 8'b1100_0000;
/ C0 @$ ]$ C( C' t/ j, o! `             default   :  X = 8'b1100_0011;
6 j3 |4 b* Z6 K8 g         endcase    # `  q% M4 t7 T- z3 A6 {6 d$ H* z; |
  end            
' f# w1 J; P+ @. x  z  0 C& M. k/ w, y+ e
assign  Q =   a;( P9 B8 c  I$ N- e0 f
assign  QB = ~a;* v5 J. F, t* g5 F8 h2 R
            
! C4 R& p; A% q  c: |always@(posedge clk or negedge reset)
. A5 u" r9 Y  m  begin
# u4 e( o! K# j1 e     if(!reset)1 B. g9 \3 F. o% X
          a = #1 1'b0;8 q- C) w: z) c! M* a
     else% N" k8 r! q. k' M" x" @! G
          a = #1 X;4 y( [5 b1 V; K# c" P
  end                                 
; R3 l% j4 x2 h( N! }+ n9 }2 W: J   - T6 n; f/ }6 I! e0 N
  endmodule6 ^1 r+ ~8 ^  s; I& O* D
//===========================================================//
( j4 }$ a; G7 \4 {' m2 g+ M3 M然後以下是Quartus產生的qsf檔。
! ~7 K% }( c  I+ c: l2 j2 J//===========================================================//& L! A( z& j. a) ]$ D& ^9 v7 B
# Copyright (C) 1991-2006 Altera Corporation
' P( t% N, t! V# Your use of Altera Corporation's design tools, logic functions
3 V9 O( |$ ?0 ]! ^# and other software and tools, and its AMPP partner logic
" [6 _# b, g7 b1 i" R# functions, and any output files any of the foregoing ( f2 L( D! @$ K2 y  a$ V! J
# (including device programming or simulation files), and any
. P2 ~. p$ n) l& M# O! [9 o# associated documentation or information are expressly subject
/ W" l" \1 y5 n9 ~9 S' i0 G$ c# to the terms and conditions of the Altera Program License # ?0 L& R, b  [9 o, m7 Y
# Subscription Agreement, Altera MegaCore Function License ) G! |. |. a& U8 ^
# Agreement, or other applicable license agreement, including,
+ B1 R) {6 W2 J1 Y# without limitation, that your use is for the sole purpose of
8 V9 g% p" Y& `  v$ R3 \: F! y9 {# programming logic devices manufactured by Altera and sold by
5 a2 j6 o/ |2 W" q! `# t# Altera or its authorized distributors.  Please refer to the 8 W7 ?1 x' y2 U$ U& D
# applicable agreement for further details.6 `0 M8 ]* j$ b0 G6 l
/ l! p/ a, z4 a# B2 i  ^- I: [7 L
  ~& O6 D2 ?' w& N1 k. a& k
# The default values for assignments are stored in the file! Y# `0 A% t! l" p3 u" a
#                test_001_assignment_defaults.qdf% D3 N# O+ f8 Y. C6 t# t4 G9 Y. M1 k
# If this file doesn't exist, and for assignments not listed, see file
) T3 J$ V& D& @/ P' T% t( O$ b#                assignment_defaults.qdf( u/ ?3 S! m/ \& q# n' q: s
8 ?! W3 o; w  \' V/ i
# Altera recommends that you do not modify this file. This/ d  z; I# L) C, A' U
# file is updated automatically by the Quartus II software
# b3 a8 C, D. L# and any changes you make may be lost or overwritten.
* T7 }% m7 J/ Y3 f4 F
' i( P( {- v+ x( }
. s8 W. ]: a0 A' G7 K" jset_global_assignment -name FAMILY "Cyclone II"
5 E" ]% _, E  Bset_global_assignment -name DEVICE EP2C35F672C6( _  w4 C( Z" L$ ?4 ?2 l
set_global_assignment -name TOP_LEVEL_ENTITY test_001
$ j8 t) L1 E& B  s# W* ]! l: qset_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0. y/ Y( y& Q0 E
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"
3 o3 X! v0 c7 ~$ N$ E8 j* {- @6 Xset_global_assignment -name LAST_QUARTUS_VERSION 6.0
- e( N& M% b8 Xset_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"8 I4 W; r! |, ]3 }
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672/ n  {0 K; E8 o( |3 H
set_global_assignment -name VERILOG_FILE old_test_001.v
  D6 g, K0 ?( X& Eset_location_assignment PIN_Y11 -to D[0]3 \/ P  a3 G' w: h6 Y& P+ r
set_location_assignment PIN_AA10 -to D[1]
3 @4 L! q9 N/ c1 \6 y, Pset_location_assignment PIN_AB10 -to D[2]; e& y7 q6 T3 d
set_location_assignment PIN_AE6 -to D[3]* G2 f" K1 |7 A
set_location_assignment PIN_AC10 -to Q[0]
7 z5 J1 N/ o& d" x2 h8 Pset_location_assignment PIN_W11 -to Q[1]
6 g6 B4 r4 z( E' B5 kset_location_assignment PIN_W12 -to Q[2]* \8 L$ F' t8 y/ b
set_location_assignment PIN_AE8 -to Q[3]$ S3 T# w& L3 W3 Y5 [& A" t
set_location_assignment PIN_AF8 -to Q[4]' ~  Z' u. r5 L1 j
set_location_assignment PIN_AE7 -to Q[5]
. A- D8 M& ?3 Z' Fset_location_assignment PIN_AF7 -to Q[6]$ H4 m0 |% z' t6 ^! b
set_location_assignment PIN_AA11 -to Q[7]( C0 d/ F, d) E- F3 l& J/ J
set_global_assignment -name SIGNALTAP_FILE stp1.stp: a* R8 |9 H. r; I+ Y6 |  V, p2 Y
set_global_assignment -name ENABLE_SIGNALTAP ON
# O1 N4 ^$ P9 V  s+ Sset_global_assignment -name USE_SIGNALTAP_FILE stp1.stp/ c7 W& {4 A" z  I' I
set_location_assignment PIN_M21 -to reset, Q/ J/ p/ M" w
set_location_assignment PIN_P25 -to clk
" [4 T: {7 k  q3 s9 oset_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"* @+ J% }9 F* z6 \1 q
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis8 s/ i  d  ?6 c7 T, ?+ L
set_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis  h7 C2 m8 `+ B
set_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis
" K) N4 m# e6 ?4 f( a, U- }% X//=================================================================================================//
8 K* d5 @& e+ `. ]我的問題是,不知道為何怎麼樣都燒不進kit裡,9 x: k6 S# J. k! m( e! i
已經排除並非JTAG跟KIT的問題!
  P0 z+ T- f0 L請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者
0 H; L3 L* y; n
只有WARNING
  f% }3 {, l8 M" h# c9 v; g! b  R沒有ERROR
+ @6 z5 d" |5 K; J2 ]8 ?& n這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??7 |# C% H9 z6 u4 V
不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者

+ S: x) k$ |# y3 M: V2 _8 P/ \, n# j$ I6 t5 _, U# ~( }
這是program的畫面
! ^' \; e3 b1 L* x" O+ a
+ V* M; H! E; g$ S, c  O& z6 }8 H

0 F1 L  C- r9 `( N" \5 H) N. S這是assignment pin的畫面
% ~- S$ f: h  Q) I# ~1 ?, r9 }0 j" b9 o4 ~3 o& h

' C" X+ d) I2 x/ x. K7 b/ B
/ b5 U8 s+ @9 s! C- D這是燒錄下載到kit的畫面
2 {7 d) s5 f3 n3 \
% X2 X7 ?' ?* l1 K9 r- G8 J####################################################* e  o! }- o8 |5 N5 y
到這都很順利,
$ f1 }2 Y. g2 z但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?; t5 w8 h$ p" {3 g1 Y
導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧/ r& S. r4 A: g6 M6 y+ R* z8 j

6 M2 d+ n9 n" y看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!6 g0 Q. Z- n! L) r) H
& p1 a7 q7 x! a& }6 J) f0 T. _

: ]6 T6 [& \" J3 ]2 A0 O& o8 a$ ?
. c3 R( m" y/ Y4 |2 B
% e& |/ v+ ^/ j- {( U% s. I4 [$ j/ b1 P
5 N9 |. k1 ^1 z" o7 s: J, Z

6 e4 }: m) C/ ?$ N2 s- X5 H2 P5 i

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟5 S$ s: |5 o- v4 b5 P& K% t
上面signaltap2跟in-system memory content editor不要亂開, 1 I) L( R5 E7 C: K1 I
特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面
3 s7 }5 g* ^$ ^, C/ m發展板的manual要K完, 有沒特別的jumper要設mode?
: H" @0 ~' F3 n, z) }. B5 k( H2 h另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,1 y  E. {# b! Q! j" J! G. I
那就是你的設計的問題,
+ g1 S/ \% h0 V# ~這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者
5 P! H! ~3 G- X1 n
感謝各位的意見~( U1 ]2 c% _, H6 h: T
同樣的描述~( n7 d. z# C- f: e
我放到altera另外一塊kit→EP2C20F484C8(茂倫)  u0 p% X! ~# e* ]$ t( \) t4 k& M
所得出的結果就是我要的~) `+ I* I5 {4 z; ^+ q
差別只在PIN的ASSIGN
3 J1 x/ y( e' F5 W' w9 }  C2 `這樣子可能會認為KIT有問題~( N, @2 T5 [0 ]8 J! {; S; P5 {7 Z+ b$ v; d
所以~0 g& ?# M/ x+ V2 q* M/ A0 C
我又重新寫了一個% }: l) \1 F5 ?. u1 ?
放到altera EP2C35F672C6這塊KIT~
1 e: d5 u) n: C0 K. p ; {5 W+ S: i- k) R$ X, O; z
居然可以動作了~0 I: n; N% A  s% M7 O0 H% |, W& R1 u
以下就是這段硬體的VERILOG HDL
+ ~( F+ M! D8 z4 P`timescale 1 ns/1 ns
7 q3 y5 U: Y8 {) D7 g5 Z/ Z& Gmodule chip_top (5 a: b) I8 m9 z' w( r
                  clk,
* Y* `5 m2 d; G8 v- h- `+ }3 V5 f                  rst_b,1 ~5 G0 v0 n6 W+ A) v0 q  E
                  cnt,
- W) j' Y2 U; b& i% ]; l7 X                  seg,  
( d: c- n) @' Y& [  ^+ f; \. K( S                  a,$ M$ E# o4 M1 D2 k8 R
                  sel,
$ K  n- _, j" j) U8 r7 v( H" {                  seg_u9,   
, E, M+ ?7 J7 H3 f& w. {( ?; Y: U5 V! m                  rst,
" E) A0 ]8 R6 G% q  P                  clock,- h. ~+ b7 g8 \! V1 E; Z3 A" ~
                 );
7 g8 l+ z) `, u+ k  ' j$ k2 A( T8 M  E; Y
   
5 W: X2 X0 J9 P% x! m  input  clk;5 U7 o. ]1 l) V' z0 U$ o% v) }
  input  rst_b;
: d2 O5 L& f) Y3 B- ~  input  a, sel, rst, clock;2 y, Y* J5 f1 A0 y' i  S
  output [7:0] cnt;+ o1 r+ C1 U& c
  output [7:0] seg;0 }8 K! {! Y$ s+ \1 i
  output [7:0] seg_u9;( v! c/ s7 S4 A9 e
  reg    [7:0] cnt;4 ?9 g8 Q8 e7 \: M9 e
  reg    [7:0] seg;# a' w/ }7 d6 E1 i) b5 P
  reg    [7:0] seg_u9;
+ a# L% L- ?+ K: W, N  `% d- g4 x  reg    [40:0] clk_cnt;
/ B( b8 c3 m! r/ M7 [# b* z9 h0 @* [  reg    [40:0] clk_seg;
2 p) y9 r1 I- b. q9 q  reg    [40:0] clk_seg_u9;
  K. b8 S- n7 V4 Z0 A; C7 ^8 {. A    wire   clk_cnt_end = clk_cnt[20];
6 w; C9 s/ i/ n: q1 h   wire   clk_seg_end = clk_seg[20];
7 S" o( e4 K" x( H, ], o: r  wire   clk_seg_u9_end = clk_seg_u9[20];$ I2 H/ `( w% h% A2 ?6 |+ N
    m; u5 V3 u& }' b, P
  
: C; R; s; g0 r, d+ A! b9 Y9 x  y//---------------------------------------------------------------
/ L+ F' m( |! Y5 h: w& m9 i  always @(posedge clk or negedge rst_b)
6 I. d; K. e0 |; \, [    if (!rst_b) 3 j0 S4 Q" c% }3 h# s
              clk_cnt <= 0;
( D) e+ L$ p& h2 K' o" a    else  ' {& n+ v4 i# j: K7 C
             clk_cnt <= clk_cnt + 1;9 O' o  M0 L# `+ R/ [7 P6 ^
0 ]2 ?/ y2 D. B
  always @(posedge clk_cnt_end or negedge rst_b), A. p0 c3 [: @( I4 p* k
    if (!rst_b)
, O: I6 @5 `4 r8 ]              cnt <= 0;( @5 s, y7 v8 k: q: [
    else
9 ?: K9 O. u/ ]7 _1 |/ e, h* }              cnt <= cnt + 1;: s( X- o% @- t- d

" P2 M' ]- n- q7 A1 [3 D% D//---------------------------------------------------------------
9 z6 U9 w8 R/ t0 C, r' ]* L0 s$ D$ d

- V* Q* F; I  e4 ]0 Y& \* N// always @(posedge clk or negedge rst_b)
; ~& X4 Q/ s+ u" E//   if (!rst_b)
7 H& W1 B( B1 j//               clk_seg <= 0;% y* s' r! F* s- C& n( r
//   else & w7 f7 I% L9 Z2 b
//               clk_seg <= clk_seg + 1;
+ B, ~3 i9 m3 s; m( r5 w# w, t: R
7 ]  _, K3 W& W2 v- s% C5 }# y// always @(posedge clk_seg_end or negedge rst_b)
$ Q7 Q/ M# i8 }//   if (!rst_b)
0 l. n1 c. s% I6 I% {5 V3 c1 B" ~//              seg <= 0;
) N; S, P& G& j/ M* M* [//   else: o5 J# t# L, T9 i# j
//              seg <= seg + 1;8 q2 @3 R( P0 h1 v. f
//---------------------------------------------------------------     
0 d. E8 t9 o0 G7 j# U. V//===============================================================           
9 t. E- t  m' g3 p' s//  always @(posedge clk or negedge rst_b)' x, l) @' e2 K9 F- M) F* R
//    if (!rst_b)0 V$ w) M7 j  m3 O* u) e0 O
//                clk_seg_u9 <= 0;
; ~- d" L, M, A+ o$ Y2 Z//    else
9 E5 @3 ?% j/ @1 m7 _) N//                clk_seg_u9 <= clk_seg_u9 + 1;
1 n# ?# }9 I4 K2 ]* e" |  @) h$ A9 C/ @1 j/ Z% i# M. R
//  always @(posedge clk_seg_u9_end or negedge rst_b)
/ O3 j( ~0 ]( H4 r2 K( ]% F//    if (!rst_b) 9 T2 L$ v5 k# W. x
//                seg_u9 <= 0;
, `: o! c" ~+ m' {9 s//    else9 L  f+ l2 R7 j" {) @' v
//                seg_u9 <= seg_u9 + 1;            
" H7 \- H+ K3 A& |2 }//===============================================================     ' p: e5 m! b5 M5 z6 d
    always@(sel or a). @) c. I, n2 M# O3 i
   begin
( d* B/ `9 h$ A  w. T! r/ T          if  (sel == 1'b1)' I! U% }# L: Z
               seg_u9 = 8'b0111_1001;              
, Z! o* y% P1 U/ B( T+ W    end     
* M$ O* a. {# a. z     always@(sel or a)
5 `1 P! h) t. \. u6 ^1 Y" z   begin
, h' G% w* J" M; B6 Y          if  (sel == 1'b1)
: \2 V+ w+ @) \              seg = 8'b0010_0100;              7 R  k$ F' W4 M
    end  
$ r, h: {0 x# m! l0 g//===============================================================" o. [- [, v7 K% {8 `' i
      " v/ t4 R" n& [+ C0 Y
endmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-14 03:56 PM , Processed in 0.131017 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表