Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 6809|回復: 7
打印 上一主題 下一主題

brief for Cortex-M0/M3 Logical Validation Implementation

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2014-3-7 09:46:30 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
├─doc5 K( M) U" m" x7 r
│  ├─Cortex-M0_TechnicalReferenceManual_Frame
5 E! Y. u# h8 S, ~. E│  │  └─graphics: @$ l" j0 K8 s. m3 E' e
│  ├─Cortex-M0_UserGuideReferenceMaterial_Frame
0 C/ [+ ~9 G% e│  │  └─graphics
$ w0 Q; q8 }3 O+ W│  └─Cortex-M0_UserGuideReferenceMaterial_XML
; c; g5 W: B5 M& j9 B/ W│      └─graphics* d) H: |5 `1 N) v  Q* V' w
├─implementation" h) p3 o. f, ?5 R; g' W" U- c
│  └─vectors
$ X" k% P5 i- ^" ?$ w( ^│      ├─CORTEXM0IMP: q  V: g' _: F
│      │  ├─crf
3 _/ g: L- q9 B# e4 V$ b& c│      │  ├─srpg
! H" K* \8 P/ q/ e. Q│      │  └─tbench. m- n4 M" ~8 O: K; W
│      │      └─logs
) W# R2 j, v1 r. T( J6 [+ U│      ├─CORTEXM0INTEGRATIONIMP
; N1 \5 v. S5 o/ k5 U# J│      │  ├─crf8 d: A; b- c9 A  h  y
│      │  ├─srpg6 b; x: x, Y/ w- A" V2 z9 i
│      │  └─tbench
& N) q+ }5 o( M# o) ?│      │      └─logs
' m! J+ ^7 p% U6 g│      └─tools
; E8 |1 U& U& y. b3 i│          └─VerilogCrf
& U$ y/ w' ~: e2 d8 r$ j├─integration_kit3 g5 S1 G8 W' g* f: k# U
│  ├─logical
/ [) a% l4 A. K0 ?8 C│  │  ├─cm0ikmcu/ u1 }, |+ _% s( E9 d, |
│  │  │  └─verilog
, d0 V+ m" h/ P│  │  └─tbench  l. u! s  G* Y3 Q
│  │      └─verilog. K( J# ]$ d/ z) E" P: t8 U
│  └─validation# k" B( _) E( L0 p3 O2 s
│      ├─glogs
3 ~# t: U" R9 ?! k  M9 z  c: W│      ├─logs: z. z* g) s- m: p
│      ├─mdk
  K9 u3 t' a6 u5 m8 f│      ├─srpg
7 i5 ]; ~* m1 O. o; z9 ^* q│      ├─tests- e8 ~2 V* `& F' b
│      │  └─CMSIS
# c; [/ q+ J5 ~$ H│      │      └─Core, F: g. y) A4 J/ b+ T
│      │          ├─CM00 O: M" b5 i7 |7 h8 Y4 K
│      │          └─Documentation. h% k& G; z! N5 {
│      └─vectors  ~7 a) x9 u3 W, ]$ [
├─ipxact
, ~3 h) D8 J1 `4 H7 K│  ├─busdefs" }0 V8 w* P8 ?8 U& [
│  │  ├─amba.com
8 h* d- b, L* }% A0 u7 h* W  C3 B│  │  │  └─AMBA3& P8 `& `8 J6 W- I
│  │  └─arm.com
1 P" e& J! q$ T! l│  │      ├─CoreSight6 k! f9 M7 i6 e* P+ L3 O( r
│  │      ├─Cortex-M00 d6 y) L4 x& I% D8 m1 f
│  │      └─CortexMCores4 M7 r* h) g1 Q7 b- v$ q& `) {
│  ├─channels' Z0 @; d: W) i( r: N8 E2 A
│  │  └─arm.com
$ T; e$ t9 X/ v' g% W- E│  │      └─Cortex-M0$ m/ i; O' F/ n
│  │          └─rtl
6 h- r7 Z8 o* S& T1 i8 Q│  └─components/ b1 x! P" G: {# ]# J. @" ~; a
│      └─arm.com2 ?! T- c( U0 K- o, b! W! [
│          └─Cortex-M0
& D9 _; X. J. h# L; o$ f: p└─logical3 p* s$ Y2 v* l- W6 |
    ├─cortexm0( ^4 Y7 A. b: x- h. h, J
    │  └─verilog
: H7 F! G5 z+ Y6 G    ├─cortexm0_dap8 T9 a- k1 a- G
    │  └─verilog
2 W4 a  h( H" S  Z$ k    ├─cortexm0_integration1 p$ Y/ b4 Z5 H, h. C0 R
    │  └─verilog8 @$ E( r+ c$ E/ d/ Y' L
    ├─models/ g7 p' ], n7 O( m* w" ~0 r: q; R
    │  ├─cells
; Q7 Q# v4 K5 O6 t& n) s/ G    │  ├─cpf5 ~' L0 P* ]0 k* B! V
    │  ├─upf8 _# D9 l2 z  S8 V* \. Q
    │  └─wrappers
2 T4 z4 i! v2 W# b7 Q+ m  @7 L. h    └─ualdis
$ `# A% B& F- M! ]7 f        └─verilog
3 ~# E5 R/ m2 o: ?2 R9 f: l
遊客,如果您要查看本帖隱藏內容請回復

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂3 踩 分享分享
2#
 樓主| 發表於 2014-3-7 09:47:01 | 只看該作者
├─AT420-DA-03001-r2p0-00rel0' F3 Q7 Z0 _/ e" _
│  └─docs* }6 n( _. ], S+ u, F
│          DDI0337G_cortex_m3_r2p0_trm.pdf$ d; P3 [/ \0 K8 F4 k" O* g
│          ; {# S# ]2 s) E
├─AT420-DC-02008-r2p0-00rel0
* b7 O, X" N& _. X4 {9 u0 I│  └─docs
5 W: o$ b: n4 w5 P│          DII0194A_cortex_m3_r2p0_csg.pdf# \4 W. m  l9 a  ~
│         
2 |; _  R& [8 j- v+ @6 |3 c├─AT420-DC-13001-r2p0-00rel0
3 k8 m. L8 P# X; C$ L( ?& t. \& g│  └─docs5 J- z& p0 W/ e

" g' l$ M5 V! H/ m/ p│  │  │          CM3CodeMux.v7 b) C5 A, c7 a+ f
│  │  │          CM3flashmux.v& u9 S- h7 u: `
│  │  │          CM3ROMTable.v5 M3 y# Y3 Z2 z
│  │  │          CortexM3Integration.v! A* Z# w/ \( C( J% t
│  │  │          4 }; E. ^0 J& @4 K3 X" D
│  │  ├─dapswjdp: `! ^0 e# ^3 L/ o. q' C$ ?5 ^
│  │  │  │  README_DAPSWJDP1 h- q0 P9 e1 s, X
│  │  │  │  
- H0 Y/ y2 R  {8 P. ]# \│  │  │  └─verilog9 m3 j2 P% U4 j1 H) Y* m
│  │  │          DAPDpApbDefs.v
# V9 Y2 o, ^; n' {5 c5 w1 b1 s│  │  │          DAPDpApbIfClamp.v
/ A4 v  L+ M1 \1 U- @3 ?0 y" n│  │  │          DAPDpApbSync.v
- @1 F) M$ r& N; ~. Y& F" X│  │  │          DAPDpClamp0.v
9 m* V$ A* s7 `8 r$ Q│  │  │          DAPDpEnSync.v2 X2 f, I" P( @8 |$ k  u) o& v
│  │  │          DAPDpIMux.v6 x; U4 u1 V% e! @
│  │  │          DAPDpSync.v' K* Y) [8 ^# m1 {6 y2 G0 J
│  │  │          DAPJtagDpDefs.v
5 z* x# ?1 s& {; f) h│  │  │          DAPJtagDpProtocol.v( J* G8 y5 E, `0 j) v- |
│  │  │          DAPSwDpApbIf.v
+ o- w7 A8 z4 k1 d. R' M7 t│  │  │          DAPSwDpDefs.v
3 v2 B; c0 k) ?, w8 J  P4 E│  │  │          DAPSwDpProtocol.v
$ o. H2 y# k: J. X+ f│  │  │          DAPSwDpSync.v
6 V# }: o  x# n9 o( d9 C. U6 B│  │  │          DAPSWJDP.v
/ R6 F9 @/ W( X. v0 x6 E│  │  │          DAPSwjDpDefs.v0 C* W; \3 y% R2 m; @6 J
│  │  │          DAPSwjWatcher.v! w  R& R8 t$ I5 G6 p
│  │  │         
1 I/ {+ U; H1 z2 h5 u+ S│  │  ├─models% ^, D! c7 ~( t! l2 }5 ]
│  │  │  └─cells
3 j: {  B4 O( V6 q4 e; T3 z7 ~6 N  w│  │  │          CM3ClkGate.v
, D! u2 w6 j* l& _- W8 L. w4 y8 P│  │  │          CM3EtmClkGate.v4 ~; }6 o9 u- L3 d
│  │  │          CM3Sync.v
8 D& d2 c6 A. F+ i  S& G7 O; Q8 X+ o5 H│  │  │          5 R* K! {. |2 N! Q
│  │  └─tbench
! Y) a; s& }! ~4 @; y│  │      └─verilog' D* U2 C$ m2 w5 E' x
│  │              AhbToApb.v
1 a8 ?$ ^, X8 x  M% i- S│  │              AtbLogger.v
  Z: A  G' o  s% Y9 w1 j│  │              BusMatrix.v0 @+ H7 s8 ^& p9 U: C7 U9 Q
│  │              ClkReset.v# F; f+ c' {! l6 W2 |% {; u; c& G
│  │              CM3BusComparator.v
. ?  G. i$ z8 T+ u+ U& k, r1 O│  │              CM3BusGasket.v
6 h; K1 M0 C: x' g& v7 T│  │              CM3TestExAcMnAhb.v
0 q& R6 z5 f: m8 B│  │              CM3ValAHBSplit.v3 U; g; u8 ]* I5 x: f% @- l1 o+ C
│  │              CM3ValApbTrickBox.v, o, A6 i2 F  z, Q  S
│  │              CM3ValBusCompareCtrlReg.v- m: R# A6 g) ]  j
│  │              CM3ValControl.v
9 }3 Q2 l. S; t' }$ D2 u│  │              CM3ValDebugCore.v
; g* P; ~7 C1 R- x8 w+ Q│  │              CM3ValDualPortRAM.v0 |% [$ o* T) f! j1 v3 K# d
│  │              CM3ValDualPortWrapper.v
9 }) z& A  p+ [│  │              CM3ValETMTrickBox.v
! b" }0 O. U& u$ w│  │              CM3ValHTMTrickBox.v- o6 w3 N1 f0 f" F9 _9 [0 F6 \; K
│  │              CM3ValIRQGenerator1.v
" B* N) L0 T- [9 h  _( f- ~* M+ ~9 R│  │              CM3ValIRQGenerator2.v6 B: q* Z6 S- h
│  │              CM3ValJtagTrickBox.v
$ O' W+ Y$ G7 L│  │              CM3ValMemory.v
; {& P3 h5 k* {4 p│  │              CM3ValPMU.v3 h% [3 i; m4 D1 t# U% r3 W
│  │              CM3ValRAM.v
3 I4 X& U$ C4 u) o: O/ q7 U│  │              CM3ValRAMWrapper.v' w$ B; W3 {( {
│  │              CM3ValScratchPad.v
6 m5 \1 Y. o% D; f# J, I: j" Q│  │              CM3ValSWCapture.v5 z: u) C' t2 h: ~+ B& \) v  l
│  │              CM3ValTBDefs.v
' s6 W; K; R$ z, d% l9 r1 Q│  │              CM3ValTraceOutput.v: }) q# j# x8 x! g1 A  b* q. Y
│  │              CM3ValTraceSync.v8 I$ V0 s. d0 C9 s
! P( a9 ^; I& }7 {- D
│      │  │  exclude_list.sc_waitstate( n2 s4 Z+ V6 s0 p) @! d

& U+ Y' X4 X5 m2 I7 k; }; V            │  └─fe_tsmc090g_sc-adv_v10_2007q4v2
. }" M& T1 i* \- m. _) d- g            │      │  scadv_tsmc_cln90g_rvt_ff_1p1v_m40c.cdB0 i' H9 ~1 ]9 {8 o' O! i
            │      │  scadv_tsmc_cln90g_rvt_ss_0p9v_125c.cdB" E( r( N7 i/ C6 b
            │      │  scadv_tsmc_cln90g_rvt_tt_1p0v_25c.cdB
. x1 b+ {' O7 q            │      │  
4 U! p" h: M# H4 X# ]            │      └─scadv_tsmc_cln90g_rvt_tt_1p0v_25c_dv.cl8 E3 u: u5 P# m$ k. i
            │              cells_1.geo
! b) v; x, V7 C- A7 {! Q) b            │              cells_1.pwr
& ]5 j1 g1 C' _# x            │              index.cli
; j9 S! e6 X8 p/ {- K# _1 H            │              README.TXT
( j; z+ g" N. }4 p# Z# D            │              rulesets
; {" D) Y: C6 m( S7 A$ v            │              VERSION.TXT0 O4 q. j3 s; K: c' ~& a
            │              vias_1.geo4 p% k  K! x5 Q' A* n
            │              
" ]5 d, F" o5 e4 t            └─tsmc
- P3 M: X" E* D3 @7 u6 {                └─t-n90-lo-sp-002-f1_1_6a_20060914
8 ^5 V- p$ s! {; i! ^" Z                    └─6X2Z
# L" y$ o9 @, i" v7 G  K& h+ ]& m                            corner.defs
3#
發表於 2014-11-11 14:41:26 | 只看該作者
回復 2# sinoicboy
, F- J; g6 S5 R" U' D
: c4 {/ @4 K# _
! F; {7 ]6 O, v, K+ y    thanks~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~``````
5#
發表於 2015-8-3 23:25:47 | 只看該作者
Chip123 科技應用創新平台
6#
發表於 2015-8-3 23:31:37 | 只看該作者
thanks~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~``````8 M+ [" V3 [% [  N5 @; p. }! c+ J
7#
發表於 2015-8-7 13:47:30 | 只看該作者
The Future for the IOT, M0 and M3 will dominate the market.( ?0 f4 J3 c8 d* t/ m
8#
發表於 2018-10-22 17:23:21 | 只看該作者
感谢分享!不知道是不是有用
  d" W' u8 {4 j. b, X
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-2 12:53 PM , Processed in 0.128516 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表