Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10575|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上
5 P8 ]7 j) E' t' Dverilog中讀取BMP檔
4 U4 M. l9 ^5 K" {& Y# w程式碼如下:
  1. module test;  k# }. r9 ]% s+ d# {8 {
  2.   integer fileId, i, cc;! O( p1 l! i6 Y: E& _
  3.   reg [7:0]  bmp_data [0:2000000];5 S0 l( p; Z/ M) T3 p* E8 C; O' s; E
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;9 `1 R. G( o& d2 i
  5. 4 s1 W% `/ }6 S; k
  6.   initial begin! M% o0 T* w6 k, }3 l
  7.     fileId = $fopen("gray.bmp","rb");
    $ n. q7 [6 f% u) M0 V1 O
  8.     cc = $fread(bmp_data, fileId);
    ) o. s7 I) l2 ^' E: }
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};
    0 y" b8 `# P  ]0 K7 m
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};& N# `7 b& Y- {: x" x
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};. a; n2 L6 o$ Y0 b" v% I
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};: y( i. @+ l8 W' l  ~( B
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin) R. W, a- ]1 k9 J4 g7 ^" q% y. a
  14.       $display("%h",bmp_data[i]);
    9 _5 v9 u, q4 F9 e7 L6 U1 }
  15.     end, X3 R8 |4 L6 g
  16.     $fclose(fileId);
    & F7 v: A. h" V( |# j1 a# |
  17.   end0 \; c% n* m; g/ c  s( _1 Q
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!
& ?" Q& |# k" C+ U# r6 S學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-7 11:46 AM , Processed in 0.152520 second(s), 21 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表