Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
123
返回列表 發新帖
樓主: monkeybad
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
41#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用
' q6 Q! y9 B4 |# B8 ~" F% w$ N感謝大大分享
42#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個
" R9 N* K0 h5 D1 w3 N輸入:clk, rst
" [$ ]6 P8 ~+ n9 V+ J+ |; c6 x: }( `輸出:tens(十位數), units(個位數)* k/ C9 w1 w8 ?, \  ~0 x4 x

7 U' K( }/ l  @/ p, Lcode : ' j$ |. B1 ~( O2 \1 c( s  J9 K

+ ^  I- J' W& I; e$ e8 fmodule BCD(clk, rst, tens, units);
  a( ]7 }- p9 S( [6 d% o3 N! I  input clk, rst;2 n" u) A1 \1 R0 k2 u7 ^
  output [2:0] tens;
2 Z, a4 r+ k/ E& G$ p  output [3:0] units;
- `" H# M6 V8 T5 l  reg [2:0] tens, xtens;
' I5 t- m) P3 D  reg [3:0] units, xunits;* {. ?- Q1 E$ U5 A# N

" E4 C+ q7 ]9 K% W  always@(posedge clk or posedge rst)& `+ t, _9 w% A1 i3 b$ M0 s
  begin" z. Q" ]1 e+ [
    if(rst)
9 {5 g& y+ B+ e' w$ ^- ~    begin
; l" ~3 t5 j5 x" e# W: L1 w4 t" A3 g      tens <= 3'b0;, ~  p8 j# y. P& H5 T
      units <= 4'b0;. b  Y" f$ F7 b3 t4 ], h! i6 h* C
    end9 @5 p4 w$ l, W; E, J
    else
7 o1 l: \( j. J& Q2 w2 I* T6 F    begin4 j5 ?* [2 H/ H2 g  R
      tens <= xtens;7 q+ ]# ?3 M) H! H5 y
      units <= xunits;5 U/ i! N; b) U6 ~+ U
    end
" T" M, _( E' x* y) m  end
7 \( E' M8 }& ?: @; b, n
3 g9 P/ u5 F* P8 j( ^$ N  always@(tens or units)6 {, t6 c/ W. ^- B* {) i
  begin; Q) {7 h4 W! {3 }
    if(units == 4'd9)
1 S9 K* r( `  q1 k9 t( W/ A0 k    begin
4 y2 I: T1 J" W3 \: n      xunits = 4'b0;
: \; p: ?0 `2 c: s3 @) ]# H5 H; W) l, |      xtens = tens + 1;
% x6 m: a; R2 J4 x0 v      if(tens > 3'd5)1 S2 ^- W0 O1 n) X+ W  o
        xtens = 3'b0;
# ?" e9 z2 D- ^& L      else4 ~  F  ~  b1 X3 Q" j- w$ `1 ^
        xtens = tens;) c) U& Z' Y; o0 j, c6 u( v) k9 s
    end; A3 \+ r: W; P; D
    else
" g' @. r7 L; Y. L# r4 j5 d5 v8 q    begin
  i8 S+ B. a/ V      xunits = units + 1;
: d' A7 o7 |8 v# J2 |3 E* v      xtens = tens;
9 C6 B( p: }' M7 d0 E    end
! W' e5 t: E) d  end; k6 j$ X/ }. r" ~7 X  n
endmodule
43#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助. i, g  e8 }4 u
感謝分享!
44#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔
: \# P4 c; c+ h8 T
) o6 N6 X$ W' H% Z0 \7 a
- C. F6 Z! m8 |1 ]% c+ P" J' K8 P   感謝您的分享,讓小弟多一份資料可以研讀。
45#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話4 O* R  N; u$ d# ]
可以上網找有沒有verilog code參考; Z( k& _0 Q, ~: H+ e. d3 z
然後合成電路後再參考tool畫的電路來畫
46#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!
+ M* {+ g: `6 D0 R6 T
- e4 N; H5 l$ C! w; y% j5 D4 V9 Z% l! g
; H$ G  z% ~( O
, T9 l2 D  O- `* G, c1 F! G
8 l( N* _$ i- X, m: _+ _7 ?
7 [( m0 j% r. X, z) u9 h5 P

. @" K' q" @/ @; P1 ^9 f  U  D4 t% O9 t, [6 j- w
, `% E, i! L2 ^. j  P9 g
6 v' a' F: i* U) e4 e; Q+ h1 q
$ t) m, e' {, h" u- `
bjcars.net
/ q  v' E5 \6 j5 `9 }
5 X+ w/ \9 I  y5 O( G+ Y" E* t* J$ c/ Y3 x

9 I3 i. I; m7 E
" u5 j# ^8 }  }  Z6 Q
4 U; a/ h1 o" Z/ v" J; N6 p4 x- l. ^% N" m. |/ W  U0 u! s. n

' m$ D3 U' [  n0 C) j1 q
6 D; Y6 u" J% S
+ `5 O! H( i& R+ Z2 z5 Z, e
- V/ v5 H# h1 J5 y
0 \2 \7 i. g' x1 x! W; G# L
3 ^" a7 G) E9 g' P: {7 t3 s4 x7 i北京搬家公司电话
47#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~) D; s% S! Q1 m' A# n5 c
48#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
49#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料- @8 G0 l% ~- C- r7 ^4 w8 ?* t
* [% k+ Q5 C, V9 ?- C% N
感謝分享!!!
50#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩+ A7 g8 q4 j% u& c$ s& B! Q6 E: U* N
51#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧
& |7 W. J) x( j5 B9 U這應該邏輯閘超多
52#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料
# \$ N( G4 o! e: I1 w* J$ g謝謝大分享的講義: V/ b! o# h& E/ k4 B. o9 _
回覆來看看囉!!/ E2 `8 s$ `' A4 D# S, l
感謝
8 t" `6 d# V9 ?( r# R$ W$ Y( c( g
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-22 05:09 AM , Processed in 0.114515 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表