Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
123
返回列表 發新帖
樓主: monkeybad
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
41#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用! Z6 q) N! E( e2 F' |8 M/ X0 {
感謝大大分享
42#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個5 `  u0 D. N& t0 ~
輸入:clk, rst: U2 J) ~# Z$ K
輸出:tens(十位數), units(個位數)7 S) t) B# I0 V  k: {& p' D- Q& A

, ~. O+ B! j0 \) I4 U% R7 l, {code :
; R! O9 r6 e  l6 c; V. @
5 v0 |3 U7 `( ~% h2 [* vmodule BCD(clk, rst, tens, units);
4 j9 j, |7 P) c  input clk, rst;& y# j" C* F, V8 ~* L, ]
  output [2:0] tens;
, P, j; i2 u( S. f  output [3:0] units;, s+ z3 y' }2 B0 x
  reg [2:0] tens, xtens;' i8 m9 p5 e+ V0 j/ r3 @9 w
  reg [3:0] units, xunits;  ]/ {2 Z& V4 D2 i/ L
4 J5 a  J& N: ^) f4 e) J
  always@(posedge clk or posedge rst)
  S" ^3 x6 n) y; m3 c; e2 g  begin7 a: G( t# r  c" h  D$ P+ G
    if(rst)/ Y0 X6 R; d9 P% B3 j
    begin
% A# ?: v; S- }: U$ X      tens <= 3'b0;+ i. A0 U9 U# _1 F% h% t
      units <= 4'b0;
  H) ], O/ `6 h9 W, D8 z0 W% t    end
4 g1 G  S& @1 b    else4 P7 S; |, A' D. p* N4 Y* W
    begin
( p6 ^0 J  Y( r) @( b" h0 w: O      tens <= xtens;
# u) J- l) P9 _# ^      units <= xunits;
( x2 F* c" U7 v( @) n. @: F    end
* {4 ~0 s: [3 P! I* [; E  end
8 m0 W9 D$ _2 x5 l4 X1 y- V
! t+ d$ C( ]; l: m  always@(tens or units)
4 n& j& K# D, X  begin
0 Q$ m, R5 o  h' S+ B    if(units == 4'd9)
4 I, q7 Z$ D# K' i    begin/ D& ^* E% R- S. _' _2 j: x6 i& w
      xunits = 4'b0;% ]1 n/ l4 _2 _
      xtens = tens + 1;* S9 A: v7 p* N
      if(tens > 3'd5)
/ b2 N# Q* m/ Q$ T+ K        xtens = 3'b0;1 M. l; N+ E% u& v
      else
6 ^- M5 }" u! E0 x# d5 ^6 M' P        xtens = tens;# e6 b7 N- V# N
    end5 \+ x! |( x# F5 b, c
    else
" H' s( S6 {& |/ @4 E% p6 h- G/ v    begin+ H: b( w; ^( o6 a1 ~5 X$ X- c) T
      xunits = units + 1;
; ^& \2 C9 s# v      xtens = tens;2 q/ [" _7 u/ Z2 ]8 w
    end
7 N9 M9 q: v5 E( m  end
8 Z/ m. X  C" o& A$ I  |endmodule
43#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助
1 w0 j) b9 _# A3 g' J/ [( e感謝分享!
44#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔 " ?9 x3 F* i3 M& ^
  m  X7 d6 g! G3 Q* p
. f: F8 @- ?, f. q/ \# r; o
   感謝您的分享,讓小弟多一份資料可以研讀。
45#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話! Y0 G. r0 m" G7 \" P) o# B
可以上網找有沒有verilog code參考) ^0 s9 w1 g2 \4 A# G
然後合成電路後再參考tool畫的電路來畫
46#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!
. {) {& l. B6 |; ]5 ^  b% u) k* d' v$ R' R8 D2 _
( H/ u. `9 ?  z& }
8 H; D) ]3 v& s& z; N
# R4 B7 X. Z$ X7 n3 ?
% c+ l3 c5 j- D# K' ^3 i+ j
/ h% f: u. n9 v* v4 p, \  V
3 a/ s! {5 Q0 H' ^  z( V5 N. J

" [; E* p! H+ J& J- M7 p! t6 [! d( x6 T2 |4 n& z

7 W( B5 u, U+ N7 \3 y( |3 M7 N% V: T2 b) H  [8 T* H
bjcars.net+ U1 [" a  u2 J5 K9 s& `* }
# |9 Z3 @2 J3 X- l1 M' z7 s5 O

# q& ~0 y6 l8 o+ i8 U1 h  y. p, X: |* w" Q& ~3 d

. W* }5 a7 f2 L! O" B
' e3 Y9 c. e9 K6 \. l
/ K: A; A9 L8 {  ~9 }. e, a, t9 l& X% i. B
) a7 @8 ?9 J7 S- s# A9 N1 r

- k9 `: B  I) V; H) k
! L3 P4 e; E" q% N0 K, B) m7 d7 ^  o+ e2 |5 z

* i# S. e2 e; v- ^" [北京搬家公司电话
47#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~' v2 ~( ~, [; h7 c' F2 c* e
48#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
49#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料
: M; v% J0 x7 z* m, z7 e( h+ S* U9 {3 a  O8 G. s- c2 O0 A
感謝分享!!!
50#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩9 c+ n+ ~9 t" N+ r% P" E  V; u2 r* C
51#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧
- z) `% a; O, d4 ^. @1 G這應該邏輯閘超多
52#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料1 R% Y/ ^0 l! \- R- J- t0 s, P
謝謝大分享的講義- y& s/ W9 U6 P( w% M
回覆來看看囉!!2 \- B- P! W6 h+ K
感謝2 `* D# O' R  ~3 `% U* ]! w3 g
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-14 11:55 AM , Processed in 0.129007 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表