Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4087|回復: 7
打印 上一主題 下一主題

[問題求助] 關於PrimePower的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-8-21 01:02:57 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
想用PrimePower來測模擬耗電量,所以要先用Design Vision來Synthesis並產生VCD檔後,才能被PrimePower讀取,請問這是對的嗎?5 v  ~. p7 W! f. l, n

) i1 n0 c3 J1 D3 |6 m7 D& e另外,要在Design Vision產生VCD檔,必須在testbench的檔案中加上.dump的語句。我的問題是,
: B8 b3 X4 U; x. f1 f: S+ K: o
1 q9 y. v, y$ K* c) b, [請問在Verilog跟VHDL這兩種語言的檔案上,分別要怎麼加這個.dump的描述呢?(不知道要寫些什麼)
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2007-8-21 15:37:17 | 只看該作者
"沒聲"在嗎?7 ]" @, [: {, ]
有空的話幫回一下吧!
3#
發表於 2007-8-21 20:45:00 | 只看該作者
這是用來做gate-level的Power的模擬& ~9 L5 n" `4 I6 @2 ?; m. L
所以要先用Design Vision來Synthesis並產生VCD檔後加上 gate-level netlist 才能被PrimePower 分析; ?8 l( I5 f9 a9 R5 B

1 D+ X2 Y1 Z1 C' R5 U5 gVerilog dump VCD :4 {% ]' w* g$ w7 I9 k

% U/ }; c$ o: Q# j' E7 Binitial
: b% Y- t) S& G4 J" p/ W  begin
* b/ ?0 z) _8 G' d, I3 G5 A    $dumpfile("dut.vcd");% g! K: w# `+ g( }9 Z& `' `
    $dumpvars;
! P& U& z) D% k( [9 I5 G  end

評分

參與人數 1 +5 收起 理由
day766 + 5 感謝!請問如果是VHDL語法呢?

查看全部評分

4#
發表於 2007-8-22 09:21:57 | 只看該作者
若用VHDL的話,可以不用在testbench加dump敘述) ^1 h) l" a7 x, G
以用modelsim跑模擬為例,可直接在modelsim的run file裡加以下敘述
$ k& k- W, a8 l0 c! |好處是不需要更改原來的testbench$ F$ `7 c8 H6 G, y/ }$ n
有點久沒用了,如果寫錯還請多多包涵
( q! U& d$ ?4 J& ~+ T2 W* n  v
2 B- x. P  e' Q+ y9 GEx.   run.do
! ~! _3 L) d; J. u( t6 [  G% P5 [! O8 u
vsim -t 1ps work.tb+ d' J" B. v2 m* x; q7 M+ j( m
Dumpfile design.vcd   (或vcd file design.vcd), V5 B. x/ R. q, I7 U- W9 _2 T+ {
Dumpvars 1, /tb2 M5 z4 C4 J( j8 y6 h" h  G  r6 p
Dumpvars 2, /tb/design

評分

參與人數 1 +5 收起 理由
day766 + 5 非常感謝!我在Design Vision裡試試看 ...

查看全部評分

5#
發表於 2007-8-22 11:10:57 | 只看該作者
這裡有一段 VHDL TB 可以產生 dump file
; @+ s7 H1 r( U  T1 L# |$ q- h, }- _0 t  G
use std.textio.all;8 ~% n( {& P6 A' }; b- Y. \
use work.string.all;
' J  Q) ^  V% o. o* r% jarchitecture tb of test is
+ O$ O# |* v! v6 n( V   file io_file: TEXT open WRITE_MODE is “sim_res.dump”;0 x0 k6 d) M2 F2 E2 a8 L2 y
begin$ t& h# w8 t$ L1 l, _) A) n
     writing_sims: process
0 X  W7 b' j; Q/ e" W9 L         variable buf: LINE; -- predefined access type in TEXTIO
' b% R$ E, Y- w: F     begin- c+ l$ l7 K( G. [
         WRITE(buf, “Simulation results:”);0 {" u- B$ b! V% N2 Y' }/ J; [
         WRITELINE(io_file, buf);
/ r' E) Y4 W6 Z- `' a- X         loop
1 v+ @8 w9 H# f7 d( k* I8 R             wait on CLK;  -- loop execution on every clock edge
  w9 _( V, y8 T& F             WRITE(buf, “Current time = “);7 f. S4 ]+ K9 S, t; K
             WRITE(buf, finish_clk);  -- current simulation time
; V2 H9 T: h9 M; w             WRITE(buf, “, clock = “);! d' m; Z& O, m/ l) E
             WRITE(buf, clk);. J2 j4 O& [0 s4 S+ R9 c3 `
             WRITE(buf, “, in1 = “);
, J  B1 K5 M5 Z1 _/ F& u# K             WRITE(buf, in1);   -- integer type
3 n) ]) x: V- H0 R             WRITE(buf, “, out1 = “);, T# D7 p+ Q3 l2 w) D4 f9 T( K& _" |
             WRITE(buf, out1); -- bit_vector type9 V2 V! B7 W+ {6 O4 R, }$ M% y4 c+ {
             WRITELINE(io_file, buf); -- write line to output file
, S( y" Z' L' i        end loop;0 Z% Y9 Q& v7 V) M& l8 w$ _
    end process writing_sims;; J  A6 f  c0 J3 d+ n7 u
end tb;

評分

參與人數 1 +5 收起 理由
day766 + 5 非常感謝!

查看全部評分

6#
發表於 2007-8-23 00:36:30 | 只看該作者

用產生VCD方法

Xilinx針對試算Power有提供自動產生VCD,不知是不是你們要的.( {7 \3 b: x% n3 c
此方法不需寫code,只要寫test banch就好了.
) w) M& k/ {/ b+ U, O; XXilinx試算Power方法是由模擬後產生VCD file,然後再參考VCD file由Xpower軟體幫你自動算出,要算出最準的Power,則要仔細寫test banch去模擬./ d  i2 X5 l5 Y9 D+ a: D; q/ a
產生VCD方法如下:
: S( J1 f+ S( i& S5 d( X( Q7 L* h' ?+ b$ p6 ^
............糟糕......我不會貼圖ㄝ.....0 ^: w2 N9 ?% y+ V  |4 m
我把方法做成一個圖片,圖貼不上去,需要的人傳短消息給我,我再寄給你們囉^___^

評分

參與人數 1 +5 收起 理由
day766 + 5 非常感謝

查看全部評分

7#
發表於 2007-8-23 00:38:12 | 只看該作者

回復 #6 jason_lin 的帖子

補充一下^^
6 s+ o* w5 G5 h' D! W7 ?是使用ISE自動產生VCD檔,不需用語法去產生.
8#
發表於 2007-8-28 16:16:11 | 只看該作者
"沒聲" 感謝你的標準答案# G( L* ^8 K1 }
另外也感謝其他人的回覆跟補充

評分

參與人數 1 +2 收起 理由
day766 + 2 也謝謝版主大人的幫忙催稿

查看全部評分

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-28 10:46 PM , Processed in 0.108514 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表