Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 5096|回復: 4
打印 上一主題 下一主題

[SystemC] systemc中的inout類型,在搭建TOP的時候怎么處理?

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-7-12 10:00:14 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
. q- N, f$ N. Y0 ]
求助各位大大....( _8 T3 H) B3 x0 W" _8 h* E9 t' W
我在做一個cpu agent的驗證,驗證代碼是用systemc和 C編寫的
6 ^  l$ ^) ^% n/ i在ncverilog下做徬真...因為有inout類型的耑口,在徬真時,會有警告.* p  f/ y6 S6 T' z8 Z
但是這個警告又不能被忽略,大緻意思是:systemc將sc_inout類型耑口當做out類型的.
$ @& \9 M7 d- G% b! v9 A在讀操作中,如果是systemc本身寫的值,而外部寫的值將被忽略....
% d, ^# O2 T. R/ |+ C請問,sc_inout這種類型的在搭建TOP時應該做如何的處理??
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2007-7-12 16:20:15 | 只看該作者

) i+ e9 d# f1 `# L# {' u: g& H8 a6 g3 x, z/ y% @  C0 ^( Z
高人指點一下啦,我試暸一天還是沒有結果...55555
8 W! ]- v6 O  b4 S' ?; {/ Xbfm的input接口和verilog寫的 RTL CORE的inout的連接有問題...
4 J: p( K5 `; Z  |8 j( `怎么解決嘛..555555555555555555555

評分

參與人數 2Chipcoin +8 收起 理由
heavy91 + 5 加油加油~~希望能找到需要的答案哦
jiming + 3 贊助懸賞啦!重賞之下必有勇夫!?

查看全部評分

3#
 樓主| 發表於 2007-7-13 15:46:19 | 只看該作者
謝謝2位
" J! `( f5 j9 t7 p6 a0 O$ Y, ?+ T/ B, R2 L+ H  g: H0 j, y
我自己重新把TOP搭暸一次,因為我的耑口是inout和inout相連,所以比較痲煩.7 b/ q9 U0 c) d) r' U9 A
看暸好多資料.我把所有的 inout分別都簽成2跟綫,一個輸入input,一個輸齣output,還有一個控製信號.一個糢塊一個糢塊慢慢的連..頭都大掉暸..: G  ?9 S2 q, @0 A: M
. [4 O1 P- y5 @& W, q  P  e
現在纔髮現,top不是想象的那么簡單...2 Y7 u7 B  F, ]2 u" t
時鍾,復位,連綫,oh my god......
4#
發表於 2007-8-22 12:01:40 | 只看該作者

systemc中的inout類型,在搭建TOP的時候怎么處理?

在verilog 中 必需宣告
4 A0 z) d" ?  L( q
0 U7 c) b& M$ q! Winout [7:0] data_bus;
0 L# x5 I4 T. x. y9 Cwire [7:0] data_bus;
% C* J$ c7 U* O; H& x1 Hreg [7:0] dat_out;" q' p  x/ ~+ ?9 m- [" s4 D8 h
assign data_bus = (we) ? dat_out : 8'bz;
* n5 V$ B- j1 T$ Q/ ~2 K( w# \2 I$ }! C. ~( s+ L
當資料寫到外面 時就由we(write enable) 去將dat_out 打開
4 m' J1 V0 |# G: v2 c' s7 I  O8 o資料讀入時,就可以直接讀取 data_bus資料
5#
發表於 2007-8-22 12:11:21 | 只看該作者
轉貼:
* h# X: n( J: A& ^( w/ K! ?小菜门,今天讲一下inout类型端口的建模,和不确定输入的约束!
: [8 ]; M; Y7 |8 d在VERILOG中的INOUT类型是数据通信中常用的,比如,DATA BUS ,. Y) S  r9 `0 ^* V. i
ADDRESS BUS,这些地方必须用到INOUT类型端口,但是VERILOG中的inout
; {+ H3 T4 i) Y% Z6 x) q9 h7 @和System C中的sc_inout是有区别的区别在于verilog中的inout就是输出和输入类型4 E; V9 `  G! x) x& I4 I9 h
而system c 中的sc_inout不但是输出,输入类型,而且可以单独当做,输出类型,它的输入作用只当作一种访问,就是其它的端口或是信号可以访问,不过在VERILOG中如果安排的好,也可以是这种类型,这样可以边对端口输出,边访问,进行检测,以达到正确输出的效果!
; ~/ |* \$ c7 T$ D6 o2 j) L" z1 _: o. c好了,下面开始正题,估计,很多初学者用INOUT肯定是会用的,但是做硬件,你不但要会用这种语言还要了解这种语言是怎么实现的,只有这样才能成为高手,何况,verilog,  ~6 X: b" q$ ?
system c都是开放源代码的,没事的时候可以读一下的!
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-15 10:20 PM , Processed in 0.107513 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表