Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 5090|回復: 4
打印 上一主題 下一主題

[SystemC] systemc中的inout類型,在搭建TOP的時候怎么處理?

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-7-12 10:00:14 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式

1 X5 n1 s7 |6 x  O. |! V' ]/ v求助各位大大....$ n7 {, d0 \  E! {7 }0 @
我在做一個cpu agent的驗證,驗證代碼是用systemc和 C編寫的; Y8 r' \  [; h& W
在ncverilog下做徬真...因為有inout類型的耑口,在徬真時,會有警告.
  ~5 c, @. ^6 S4 y& ?' H但是這個警告又不能被忽略,大緻意思是:systemc將sc_inout類型耑口當做out類型的.
; W6 d# P5 V0 U在讀操作中,如果是systemc本身寫的值,而外部寫的值將被忽略....
) u6 Y9 l- ]# C; ~  K請問,sc_inout這種類型的在搭建TOP時應該做如何的處理??
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2007-7-12 16:20:15 | 只看該作者

+ d4 j: `4 p, L6 \# I, u, [3 ~5 p" {0 k5 d
高人指點一下啦,我試暸一天還是沒有結果...55555
- @* f0 I! v" Y$ I+ v5 o8 W" j( }bfm的input接口和verilog寫的 RTL CORE的inout的連接有問題...
& X! M# s  }! q( ^怎么解決嘛..555555555555555555555

評分

參與人數 2Chipcoin +8 收起 理由
heavy91 + 5 加油加油~~希望能找到需要的答案哦
jiming + 3 贊助懸賞啦!重賞之下必有勇夫!?

查看全部評分

3#
 樓主| 發表於 2007-7-13 15:46:19 | 只看該作者
謝謝2位 % o  E0 [" ^* |; ^; C

) t; V7 x: u" ?- H& ?我自己重新把TOP搭暸一次,因為我的耑口是inout和inout相連,所以比較痲煩.5 v3 m# X$ N6 Y. M* }
看暸好多資料.我把所有的 inout分別都簽成2跟綫,一個輸入input,一個輸齣output,還有一個控製信號.一個糢塊一個糢塊慢慢的連..頭都大掉暸..! f3 n/ @- p1 ^) \
- H' M- L1 f2 l! f: `
現在纔髮現,top不是想象的那么簡單...) F9 K! {+ z9 l
時鍾,復位,連綫,oh my god......
4#
發表於 2007-8-22 12:01:40 | 只看該作者

systemc中的inout類型,在搭建TOP的時候怎么處理?

在verilog 中 必需宣告
- ?6 A8 g+ }! G' o5 u- `3 R. L( t% v
inout [7:0] data_bus;4 d9 ?, D% Z$ E& s
wire [7:0] data_bus;
. ^8 `8 A6 q5 t3 x% ereg [7:0] dat_out;$ b4 K! {% I" K9 y% \+ ?
assign data_bus = (we) ? dat_out : 8'bz;
" G* n8 A2 T3 @
8 d- S# C0 N4 t當資料寫到外面 時就由we(write enable) 去將dat_out 打開* z# z% B" H1 e5 Q" S
資料讀入時,就可以直接讀取 data_bus資料
5#
發表於 2007-8-22 12:11:21 | 只看該作者
轉貼:1 P* u/ P$ a# l5 Z( U' {# d/ h
小菜门,今天讲一下inout类型端口的建模,和不确定输入的约束!- }' [0 c* a8 j+ w2 N! U
在VERILOG中的INOUT类型是数据通信中常用的,比如,DATA BUS ," F! h, A5 Z2 W9 t6 e5 w# O8 _
ADDRESS BUS,这些地方必须用到INOUT类型端口,但是VERILOG中的inout9 r/ k, A6 b9 L. x
和System C中的sc_inout是有区别的区别在于verilog中的inout就是输出和输入类型
$ O% {9 o( ]) t/ B9 x9 m而system c 中的sc_inout不但是输出,输入类型,而且可以单独当做,输出类型,它的输入作用只当作一种访问,就是其它的端口或是信号可以访问,不过在VERILOG中如果安排的好,也可以是这种类型,这样可以边对端口输出,边访问,进行检测,以达到正确输出的效果!
8 F! a* j+ D0 G, e2 K好了,下面开始正题,估计,很多初学者用INOUT肯定是会用的,但是做硬件,你不但要会用这种语言还要了解这种语言是怎么实现的,只有这样才能成为高手,何况,verilog,& W3 b- s: r" t
system c都是开放源代码的,没事的时候可以读一下的!
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-2 11:47 PM , Processed in 0.104006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表