Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
樓主: jiming
打印 上一主題 下一主題

原相科技選擇思源科技Laker L3 為標準客製化佈局系統

  [複製鏈接]
21#
發表於 2010-4-13 07:39:01 | 只看該作者
Laker客製化IC設計平台陣容擴及於全新列佈局器與客製化數位繞線器,高效率地將數位設計整合到混合訊號IC中
9 I! ]$ a/ e! O  R9 a' @+ c- \* w. m8 D9 o7 ?# x
2010年3月15日台灣新竹 — 專業IC設計軟體全球供應商思源科技(SpringSoft, Inc.)今天發表了兩項全新產品,克服客製化晶片設計與日俱增的挑戰。在運用自家Laker™系統實現自動客製化設計的專業能力之基礎上,思源科技發表了Laker客製化列佈局器(Laker Custom Row Placer)與Laker客製化數位繞線器(Laker Custom Digital Router)。這兩項工具與Laker系統(Laker Custom Layout Automation System)完全相容,讓設計人員能夠在單一的客製化IC佈局環境中作業。高效率地執行在混合訊號或客製化數位設計中,數位客製化單元與標準單元的佈局與繞線作業。
  z: n" v. t8 F3 w: h$ m8 y. h
3 t% |, K/ U: O; U如同既有的Laker系統一般,全新工具完全遵循業界標準OpenAccess (OA)資料庫的規範,為設計人員提供真正具備相互操作性的平台,為自己的客製化IC設計流程開發異質工具環境。全新的佈局與繞線解決方案已經為具有客製化數位與混合訊號區塊的客製化IC佈局作最佳化,不必手工佈局或將設計資料匯出至傳統的數位佈局與繞線工具。這個解決方案也能實現客製化數位區塊的精準設計,滿足關鍵的尺寸與功耗需求,遠超過一般自動化佈局與繞線工具所能達成的數倍之遙。: v8 a: B8 w. J: r7 Z  C9 _" c5 ?

% h8 L2 i! X, a2 ?+ c* y, E, A' M思源科技Laker系統產品行銷處長Duncan McDonald表示:「混合訊號晶片具備多變本質 – 在混合訊號區塊、更小的面積中,更進一步混雜數位與類比並且更廣泛地運用數位標準單元,而且效能需求與低功耗限制更高 – 需要更高效率而且完善整合的客製化IC設計作法。這些全新工具建立在本公司Laker系統中客製化IC設計技術的殷實基礎之上,且為設計的數位部分更進一步新增高效率自動化。」
22#
發表於 2010-4-13 07:39:06 | 只看該作者
Laker客製化列佈局器
" K% ^7 g( L8 m7 ~% }; q在數位區塊的佈局方面,Laker客製化列佈局器支援標準單元與客製化單元設計(包括倍高單元);運用專利的自動化技術來執行累進式選擇與佈局,還有固有的重覆功能可以封裝佈局區塊;也運用堆疊公用程式實現資料路徑式佈局(datapath-style placement)。列佈局器也具備能夠幫助繞線的豐富功能,能夠執行列捕捉(row snapping)與重疊刪除(overlap removal)還有擴散分享(diffusion sharing),實現面積的最小化。& z. W5 ^+ Y  D. K( _' X+ K6 G) |
' k: b9 c- K: d% U5 d
Laker客製化列佈局器與客製化數位繞線器與Laker佈局系統完善整合,為使用者提供最周延的編輯功能,例如對準與分配(alignment and distribution)、邊界更新(boundary update)與重疊檢查(overlap check)。客製化列佈局器也為客製化數位繞線器執行透明的資料準備,並使壅塞減到最低限度。雖然客製化列佈局器並非時序導向,但的確可以擔當佈局最短線路長度的重責大任。
8 h; y5 n% A3 p- y. x' \Laker客製化數位繞線器* |- N& t8 X) z8 C* J! b4 b& K+ y
# ^. A  G4 k2 D0 d( [
Laker客製化數位繞線器以數位設計部分(少於50K單元)為目標,並非時序導向。直接在Laker資料庫中,或在OpenAccess中完成繞線作業,並且支援LEF/DEF匯入與匯出功能。初始版本支援40奈米(nm)規則,未來的版本將支援28nm規則。6 k  K  M" f7 n  x, B- ]- O# Z; @

2 f6 Z6 Z6 Y5 D- t1 ]- s$ d1 u+ R  ]Laker客製化繞線器綜合了格線式(gridded)與形狀式(shape-based)繞線技術;能夠不按格線(off-grid)來連接不按格線排列的腳位,還可以運用形狀式繞線技術來修正違反設計規則的任意部位。這個繞線器支援3D廣域繞線,也具備壅塞分析地圖與顯示器;與客製化列佈局器連動以確保在實務上能夠繞線的佈局;擁有全套修正公用程式,例如支援行尾空白(end-of-line spacing)、最小邊緣/最小面積、封閉邊緣(enclosure edge)與繞線後最佳化(post-route optimization);也能夠執行獨立的繞線後DRC驗證,提供錯誤檢視方便找出DRC違反。
23#
發表於 2010-5-18 16:06:11 | 只看該作者
思源科技加入SI2開放式PDK聯盟為孕育客製化晶片設計的開放標準與相互操作性的承諾背書8 O" M/ W1 A0 s0 h" k

8 t$ J' B/ f1 R2010年5月18日台灣新竹 — 全球專業IC設計軟體供應商思源科技(SpringSoft)已經加入Si2(Silicon Integration Initiative )發起的開放製程設計套件聯盟(Open Process Design Kit Coalition,OpenPDK)成為會員。OpenPDK專心致力於標準的開發與推廣,以改善積體電路(IC)的設計方式。而就在前不久,Si2宣布董事會核准組成OpenPDK聯盟,定義一套建立PDKs的開放標準,可以跨晶圓廠遷移,並盡可能不依存於電子設計自動化(EDA)工具。6 }5 c. k# H7 o
' X6 B3 T5 h9 }4 f3 @+ k( \1 Q
PDK就是一群技術檔案,提供客製化IC設計時所需的基礎架構元素, 如參數化單元庫(PCell)、設計規則(rule decks)、模擬模型及其他更多項目。PDKs都是針對個別晶圓廠與製程組合而建立的,以確保所有元素能夠密切配合。Si2 OpenPDK的目標就是要透過全業界適用的解決方案,提高PDK開發、驗證與供應的效率,均等地為所有晶圓廠、EDA工具供應商和使用者提供支援。
. r; d; O- o8 d8 Z0 V* ]! b' U! m4 s0 A3 Z5 [
Si2執行長Steve Shultz表示:「Si2歡迎思源科技的加入,我們很高興看到思源科技在孕育相互操作性與為開放標準所做的努力與奉獻。」
24#
發表於 2010-5-18 16:06:17 | 只看該作者
思源科技也是可相互操作PDK庫(Interoperable PDK Library,IPL)聯盟的創始會員,該聯盟於2010年2月發布開放、可相互操作PCells (iPDK)的IPL 1.0標準,讓使用者能夠建立適用於幾乎所有OpenAccess相容EDA工具的 PCells。思源科技希望加入Si2 OpenPDK聯盟之後,能夠更進一步擴展現在透過思源的Laker™Custom Layout Automation System支援iPDK的努力,並幫助定義讓使用者與EDA供應商更能夠獲益的標準。
, [  C) k7 ]  U: F( q$ k! _( n" J- N: r$ U' M4 ^( k
思源科技產品行銷處長Duncan McDonald表示:「思源科技率先加入OpenPDK聯盟,再次展現領導風範,也兌現了我們實現真正開放式PDK的承諾。我們熱切期盼與Si2 OpenPDK聯盟合作,因為思源科技相信這項努力將進一步建立在Si2 OpenAccess標準上,進而帶動客製化IC設計的創新。」
5 g# Y6 }3 \$ v+ M2 b* w
2 Y9 C: D9 Y! u  [  W* c5 E8 {! [# ^% v& ?# W. @9 ?
關於Si2 , m0 u) F! c# ?0 c1 C$ a& l  i3 G
Si2是業界頂尖半導體、系統、EDA與製造公司組成的最大組織,致力於開發和推廣標準,以改善積體電路設計與製造的方式,以便加速上市前置時間、降低成本,進而克服次微米設計的挑戰。Si2在創立迄今的第22個年頭,獨特地定位於透過專職人員與會員公司的堅決履行而實現及時合作。Si2代表涵蓋全世界矽晶片供應鏈中將近100家公司。詳情請參照http://www.si2.org
25#
發表於 2010-5-25 16:13:13 | 只看該作者

思源科技LAKER系統支援TSMC 40奈米技術可相互操作的製程設計套件

2010年5月25日台灣新竹 — 全球專業IC設計軟體供應商思源科技(SpringSoft)今天宣布,支援台積電(TSMC)的40奈米可相互操作製程設計套件(iPDK)。這是以思源科技所支援TSMC 65奈米RF製程iPDK為基礎,預計在2010年第二季結束,40奈米與65奈米TSMC iPDKs都將可搭配Laker™ Custom Layout Automation System量產使用。6 C9 e9 C  _4 r! t' {: O

% m$ D+ U2 n( c; m5 ^! K兩家公司之間的合作起因於彼此對於可相互操作PDKs的支援,為客製化晶片設計人員提供製造彈性、技術選擇與設計生產力。思源科技是可相互操作PDK庫聯盟(Interoperable PDK Library Alliance,IPL)的創始會員,也是TSMC 65nm iPDK的驗證夥伴。
( q  R% n; a: k) Z  ^; o
3 k: I* Z" C1 a  f# d2 ?, J* N0 ~TSMC設計方法與服務行銷副主任Tom Quan表示:「我們與思源科技等許多頂尖供應商合作,確保iPDK實現開放且可相互操作PDK的願景。如此,TSMC支援每一客戶的最佳設計流程,讓客戶在整個設計流程中都能享用同級最佳工具。」" |8 `" Q5 q6 h8 y8 k  c
) \1 y& v9 ~* W
思源科技Laker產品行銷處長Duncan McDonald指出:「我們支援TSMC 65nm iPDK,現在更達到40nm iPDK,就是我們不斷地努力以提供最完整、開放且可相互操作的設計解決方案的鐵證。我們與IPL同心協力建立PDKs的可相互操作標準,為客製化設計工程師們賦予所需的彈性與生產力,更快速實現更佳的成果。」
26#
發表於 2010-6-1 17:49:09 | 只看該作者

TSMC採用思源科技 LAKER系統執行客製化IC設計佈局

2010年6月1日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,其Laker™系統獲TSMC採用並應用於混合訊號、記憶體與I/O設計。Laker系統提供一致性、驗證有效的設計實現流程,支援涵蓋各式各樣應用的TSMC客製化設計需求。
: l- F4 t3 V6 |+ e$ g2 R3 ~3 }# ?& k
作為全球最大的專業半導體晶圓廠,TSMC專注於奈米技術和百萬級閘道IC設計所需之開發能力與實證。Laker系統提供容易使用的自動化工具,縮短處理高品質且複雜的客製化電路佈局時間。8 d/ v* h5 v" h; x

+ n8 ]' @4 R. }& e1 j. eTSMC設計方法與服務行銷副處長Tom Quan表示:「我們的IC設計團隊站在當今要求最嚴苛的客製化晶片開發與設計實務的最前線。為了因應這些設計的多樣性與複雜性,我們運用Laker佈局系統等工具與方法,幫助打破設計障礙,不斷地進化自己精密微調的設計環境。」
3 l  O4 ]" `$ R4 D8 o( {1 P
: P$ C+ j6 m  N1 H思源科技客製化IC產品行銷處長Duncan McDonald表示:「對於客製化晶片開發團隊而言,節省時間就是滿足積極設計目標與交貨時程的關鍵。TSMC節省的時間證明Laker工具與流程為設計環境所帶來的價值,也證明了TSMC為晶圓廠客戶所提供設計實現服務的價值。」
27#
發表於 2010-6-15 16:06:41 | 只看該作者

思源科技LAKER系統獲得TSMC 28nm類比與混合訊號參考流程認證

思源科技具備LDE(layout dependent effect) 認知功能的設計實現方法,克服先進製程技術與設計複雜性的挑戰
8 c% g+ B% H$ _- a4 w5 Q! O) R. t' M
2010年6月15日台灣新竹訊 — 專業IC設計軟體全球供應商思源科技今天宣布,Laker™系統獲得TSMC開發的28nm類比與混合訊號(AMS)參考流程1.0認證合格。將Laker系統整合到TSMC參考流程,產生具LDE(layout dependent effect)認知功能的設計實現方法,提高佈局品質與設計流程生產力,以最新製造流程實現卓越的晶片設計與更佳的設計重複利用。
6 }6 c: [/ N; t+ t" `' p) z7 k0 Y
$ ?3 y1 f% n/ ]) m4 a6 RTSMC 28nm AMS參考流程具備同級最佳設計工具與方法,解決更小、更先進半導體技術所導致的挑戰,並克服晶片設計的複雜性;建立TSMC與EDA供應商之間的協作平台與合作模式,透過以TSMC 28nm高效能製程技術為後端設計實現流程,實現混合式(多重供應商)前端功能設計的可行性。這個流程以業界標準OpenAccess (OA)資料庫為基礎,使用TSMC可相互操作製程設計套件(Interoperable Process Design Kit,iPDK),在1.6 GHz操作的ARM相位鎖定迴路(phase-locked loop,PLL)電路作為參考設計。
28#
發表於 2010-6-15 16:06:50 | 只看該作者
思源科技的Laker OA相容設計解決方案通過TSMC 28nm AMS參考流程與子流程驗證,包括自動化電路圖導向佈局、客製化數位佈局與繞線,以及具LDE認知功能的佈局與限制檢查等功能。與TSMC合作開發的全新Laker具LDE認知功能系統提供線上LDE分析,能夠在佈局時標示元件效能的偏差。這些功能也讓工程師們得以輕鬆地找出並檢視違反電子與佈局限制的地方。這種具LDE認知功能的方法縮短了佈局前後模擬之間的設計循環,也在矽晶片投產之前解決了40nm與28nm製程相關效應。* c' k0 S& Z* P* {$ a+ ~: v
2 H4 s& \3 |* f$ _- U. e4 V% T
TSMC設計方法與服務行銷副處長Tom Quan表示:「思源科技一直都是TSMC的EDA生態體系中不可或缺的重要成員,Laker全新具LDE認知功能的參考流程設計實現與開發的合作做法,具體兌現了思源科技為彼此客戶提供服務的承諾。」
6 {0 n2 X+ w3 O) H# [% L  y' L- R$ j  n, H# J: X
思源科技客製化IC產品行銷處長Duncan McDonald指出:「TSMC的28nm參考流程是半導體業界的重要里程碑,對於希望在這個製程駕馭高延展性效能、密度與功耗優勢的客製化晶片設計工程師而言尤其重要。由於擁有完整的OA標準與TSMC iPDK量產支援,Laker系統與TSMC 28nm AMS設計流程中其他供應商的工具密切整合,提供具LDE認知的自動化技術,確保最高品質的佈局與最高效益的設計流程。」
29#
發表於 2010-8-24 16:04:08 | 只看該作者

華邦電子採用思源科技LAKER佈局與繞線系統 設計高效能、低功耗記憶晶片

2010年8月24日台灣新竹 — 專業IC設計軟體全球供應商思源科技(SpringSoft)今天宣布,總部位於台灣的頂尖半導體記憶體全球供應商華邦電子(Winbond)採用了Laker™佈局系統與Laker數位繞線解決方案。由於部署Laker佈局工具與設計流程,華邦電子縮短了新記憶體設計的開發時間達70%,這些設計的應用範圍涵蓋SDR、低功耗DDR與行動電話用RAM等各種行動記憶體。0 ^4 f' e5 G* \5 j; G' U

% d: M4 x) d* [) j. D華邦電子主要產品包括利基型DRAM (Specialty DRAM)、行動電話用RAM (Mobile RAM)、編碼型快閃記憶體(NOR Flash)與繪圖DRAM (Graphic DRAM),具備高效能與高速特性,並廣獲消費性產品、通信、電腦週邊與汽車市場領導廠商的愛用。Laker系統提供方便好用、自動化的工具,包括繞線解決方案,讓華邦電子設計團隊能夠節省區塊與晶片層間的大量時間;電路圖導向佈局(SDL)流程,提高使用者生產力;還有內建可程式化單元(scriptable cell),大幅縮短單元庫開發時間。$ ~8 y7 D. S, x5 j$ t7 M

5 P$ J. D# o8 s2 |5 m華邦電子DRAM產品研發部副處長羅木財表示:「作為行動記憶體市場的頂尖IC供應商,華邦電子努力不懈地以高效能、高可靠性產品,提供客戶導向記憶體解決方案。思源科技為我們的設計團隊提供威力強大的佈局自動化技術與高彈性化設計流程,還有卓越的技術支援為後盾。我們的設計團隊運用Laker系統,已經能夠大幅縮短65奈米設計的繞線與驗證時間,遠勝過其他佈局工具,而且還可以繼續修改設計以確保最佳功耗與最高品質的設計實現。」' K1 {* D: d4 y0 C& ]8 ^# c3 I3 p5 M
6 T) c9 _, c# I$ _. G7 X
思源科技實體設計產品行銷處處長Duncan McDonald表示:「全球各企業全都仰賴華邦電子記憶體解決方案,涵蓋各種應用與業界。對華邦電子開發團隊而言,Laker將焦點置於卓越設計與節省時間,就是達成高挑戰性產品目標和符合時程要求的關鍵。」
30#
發表於 2010-9-7 16:12:52 | 只看該作者

微軟XBOX團隊採用思源科技VERDI偵錯軟體,縮短設計時間

2010年9月7日台灣新竹 — 專業IC設計軟體供應商SpringSoft思源科技今天宣布,微軟公司Xbox產品開發團隊運用Verdi™自動化偵錯系統,大幅縮短設計時間,並克服日益複雜的新世代晶片設計。功能強大的偵錯平台使微軟團隊能夠快速理解預期的設計行為、找出設計錯誤和有效管理設計版本變動,避免繁雜而且耗時的手工步驟, 與過去執行過的專案相比, 在某些領域甚至可以縮短一半的偵錯時間。) x) _3 p9 q  P" W: X

) d/ Z! M$ G9 W% Q- QXbox團隊運用Verdi系統於最新的繪圖(GPU)與微處理器(CPU)晶片設計上,由於IC尺寸的縮小與其複雜性日益增高, 使工程師面臨史無前例的驗證挑戰。驗證工程師們必須仰賴Verdi工具來自動化”尋找錯誤”的工作,並有效率地比較修正過後的不同設計版本。8 B7 @# b5 }! h0 |! T( C0 V
$ _5 j$ s9 V' _  B" r6 ?4 I" w
微軟XBOX事業群資深驗證工程師Nguyen Le表示:「Verdi工具使我們縮短了一半的偵錯時間在最新的複雜晶片設計;其追蹤和比較設計的自動化功能堪稱無價。過去要耗費許多時間在追蹤問題上,但使用Verdi後,我們卻能夠迅速找出錯誤,並加以更正。」, f( _% G5 v3 @: f" ~3 ?5 q. z
( @+ G) O- ~" H4 W* r
微軟Xbox團隊特別受惠於Verdi系統的自動化設計追蹤與比較功能。思源科技的工具提供自動化追蹤信號變動的功能,運用行為分析技術快速追蹤跨越多個時脈週期的信號變動。Verdi系統讓設計團隊能夠透過RTL描述而階層化地追蹤設計。即便搭配其他不熟悉的設計元素,驗證工程師們仍可快速理解設計碼中複雜的關係與相互影響,進而找出錯誤的根源。
. R9 N! L6 a. |; R# y9 h
% D+ _4 K1 m6 A* C/ m# |) t思源科技Verdi產品行銷處長李新基表示:「微軟的Xbox產品系列設計工作是IC設計業界要求最嚴苛和複雜的任務,看到微軟運用我們自動化偵錯解決方案所實現的成果,我們感到非常高興。Verdi原本就是為了要克服尋找、隔離與更正設計錯誤等嚴苛挑戰而精心開發的。微軟所達成的節約時間成果,在該公司所處的快步調消費性市場中更顯珍貴。」
31#
發表於 2010-9-27 16:01:43 | 只看該作者
立錡科技採用思源科技LAKER軟體 實現電源管理IC的客製化設計與佈局0 y4 y+ A, Q" p, ]* D" E& j1 M

0 i2 p% V9 o0 b3 x$ N2010年9月27日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,立錡科技導入Laker先進設計平台(ADP)於其設計輸入的標準流程中,並應用Laker™ 客製化佈局自動化系統以實現其客製化的晶片設計流程。Laker軟體產品使立錡科技設計團隊能夠縮短產品上市時間、克服成本與設計複雜性的挑戰,讓劃時代的電源管理解決方案能夠應用於各式各樣電子系統。% J0 J  I$ k8 \
0 |" ^) H) P* L( Q) ]
立錡科技是全球最大的桌上型電腦主機板電源管理IC供應商,同時也是廣泛運用於手機、數位相機、網路通訊設備、大型液晶顯示器、筆記型電腦等設備的電源管理IC的頂尖供應商。由於將Laker ADP與佈局產品融入到自家的設計方法中,立錡科技創造了完善、高度自動化的客製化設計流程,以更短的時間獲得更卓越的成果。Laker ADP環境包括直覺式、全功能電路圖編輯器:具備開放式模擬中控台與波形分析儀;專利的Laker Magic Cell (MCell™)技術,能夠使裝置產生、編輯與控制作業自動化;還有Laker電路圖導向佈局(SDL)流程,加速客製化電路的實體設計實現。0 N  @" M2 R" Q# i2 D

! ^0 j$ |  G5 v# Y2 m2 }" y& X立錡科技副總經理張國城表示:「我們專心致力於提供最具競爭力的電源管理IC產品、最周延的系統解決方案以及最高品質的設計服務。為了滿足這些標準,我們的設計團隊必須擁有一致、驗證有效的晶片設計流程,能夠支援涵蓋眾多應用的客製化需求。思源科技藉由Laker系統提供因應緊迫開發時程所需的自動化技術與技術支援,讓我們的客戶能夠推陳出新而享受卓越的競爭優勢。」! g1 ]# x; `& x) m1 n1 b8 q3 b

4 `3 O! y9 e" c& `思源科技實體設計技術產品事業群副總經理李炯霆表示:「立錡科技是我們非常重視的長期客戶。我們也非常感謝立錡科技設計團隊信賴Laker系統,正如同許多客戶仰賴立錡科技的IC解決方案與專業一般,依靠我們的工具與流程。Laker系統為客製化晶片設計與佈局提供高效率平台,讓立錡科技能夠更輕鬆自在地滿足客戶的獨家需求,同時實現全新一代的高成本效益電源管理IC。」
32#
發表於 2010-10-12 16:17:47 | 只看該作者

京東方科技全面部署思源科技LAKER系統 支援先進的液晶顯示應用

2010年10月12日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,總部位於北京的液晶顯示器(LCD)製造廠商京東方科技集團股份有限公司(BOE Technology Group Co. Ltd.)已經採用Laker™客製化佈局自動化系統,作為標準的客製化晶片設計平台。京東方科技是思源科技的長期客戶,目前正擴大部署Laker系統,從早期的4.5G、5G與6G FPD產品應用,升級到最新的8.5G陣容,實現先進的技術開發。+ E* P' q6 _% g4 ^: z* m

  T4 Z5 i2 ~0 p京東方科技開發、製造與銷售TFT-LCD面板與模組,尺寸從1.8到55英吋,主要運用於筆記型電腦、顯示器與液晶電視。京東方科技在先驅技術與顯示器產品方面的研究工作,專注在低耗能、影像品質、廣角視野與綠能科技等領域實現卓越效能,滿足數位生活的無盡需求。透過廣泛地使用思源科技Laker客製化佈局產品、設計流程與專業客戶服務,BOE也實現了新一代快速且高品質的FPD設計解決方案。( G" p: j( e$ n' ?# |- _) ?9 Q
京東方科技陣列設計總負責人徐宇博表示:「Laker是功能強大的環境,具備液晶顯示器專屬功能與工具集,能夠簡化各式各樣產品應用的設計工作。這些絕佳功能搭配思源科技的卓越客戶服務,為我們的開發團隊提供了實質的支援。並將持續扮演關鍵的角色,幫助我們推動新一代液晶面板在資訊技術與電視市場上繼續保持優勢」
" @) O- H% E) }
( F+ ^6 q" W8 [5 f2 @思源科技客製化IC產品行銷處長Duncan McDonald表示:「京東方科技是中國大陸電子業中快速成長的技術領導創新廠商,也是液晶市場的重要指標企業。思源與BOE的長期夥伴關係,就是我們致力提供最佳佈局解決方案與專業服務的最佳鐵證。」
: v: \. M1 [: g: K; L
3 [/ H9 I1 u; p$ ?2 s- N* JLaker客製化IC佈局系統提供方便好用的工具,還有妥善控制的自動化技術,以及專為液晶顯示應用而量身訂製的設計套件。Laker FPD編輯器榮獲當今5大FPD供應商中4家廠商的愛用,使京東方科技工程師們能夠在單一環境中,建立、驗證而且輕鬆地進行FPD設計平台的客製化晶片佈局。先進的FPD編輯功能包括自動化等電阻繞線(equal resistance routing)、畫素設計的使用者定義裝置、電阻值計算(resistance calculator)與設計偵錯時的階層式連線偵測(hierarchical net tracer)。
33#
發表於 2010-10-27 10:52:34 | 只看該作者

韓國海力士(HYNIX)同時部署思源科技的Verdi與Laker軟體

2010年10月26日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布,海力士半導體(Hynix Semiconductor Inc,Hynix)已經在Verdi™自動化偵錯系統與Laker™客製化佈局自動化系統上完成標準化。海力士是思源科技的長期客戶,部署Verdi軟體作為數位設計的偵錯平台,也部署Laker軟體作為快閃記憶體應用的客製化晶片設計平台。
& R, Z! U0 L: d, ^( Y; @8 b: z3 Y% [; D4 _/ [
海力士總部位於韓國,是動態隨機存取記憶體(DRAMs)、快閃記憶體(NAND Flash)與CMOS影像感應器(CIS)晶片的全球頂尖記憶體半導體供應商,為全球各大企業供貨。思源科技工具讓海力士的開發團隊能夠在高品質記憶體解決方案的功能驗證與實體設計方面大幅提高生產力。! l- A4 n' w! C' m. Q& T

8 {1 t2 t7 o) Q( Y! c0 S海力士電腦輔助工程分析部門(CAE)主管 Lee Sang-IL表示:「提供最好的記憶體解決方案就是我們的核心能力。這需要世界級EDA平台,以最高水準的生產力與效能滿足我們的設計與驗證需求。思源科技瞭解這一點,為我們的設計團隊提供最高價值,運用Verdi與Laker聚焦於最關鍵的挑戰。我們已經因為他們的省時自動化技術而獲益良多,當然也期盼能夠持續這項成功的合作關係。」
* q6 x( F( }+ O5 H, \" e+ e4 m: C) o4 t( N1 |1 c" Y
Verdi自動化偵錯系統是思源科技的先進偵錯旗艦產品,也是驗證強化解決方案系列的基石;運用Verdi獨家分析引擎、威力強大的視覺化功能以及專利設計追蹤技術,使理解複雜的IC與系統晶片(SoC)設計的流程自動化,進而縮短一半以上的偵錯時間。Laker解決方案提供高度自動化的客製化晶片設計環境,以更短的時間生產更卓越的成品,包括直覺式全功能電路圖編輯器、使裝置產生自動化的專利Magic Cell (MCell™)技術,還有完整的電路圖導向佈局(SDL)流程以加速實體設計實現。9 Z2 H, F$ o8 J- D3 \6 J2 z! q

9 F; P4 g; G/ ?  ]思源科技營運長暨執行副總鄧強生表示:「Verdi與Laker是目前晶片開發生態之中重要的一環,因為它可以節省工程師的時間,在更短的時間內完成更多驗證,以及花費更少力氣而產生高品質設計。海力士承諾以一流工具與設計流程打造產品開發引擎,鞏固其產業領導地位,並帶動韓國核心記憶體事業與半導體產業起飛。」
34#
發表於 2010-12-1 17:29:16 | 只看該作者
cadence和laker" x$ v- T  B6 |) _
在學校是使用cadence$ i2 b2 Z3 S' N' g7 b! Q! @7 t
第一天上班 ( F& L0 b9 ^& ]
公司使用LAKER  _& U/ @0 }  E! _0 B, R' Q
恩~"~不會用
35#
發表於 2011-1-10 16:18:33 | 只看該作者
富士通半導體運用思源科技的CERTITUDE功能驗證系統 改善其汽車微控制器的驗證品質+ f3 w0 M" R3 s1 b
: U* Y4 f& m$ C2 x; }6 {
2011年1月10日台灣新竹 — 專業IC設計軟體全球供應商SpringSoft思源科技今天宣布,Certitude™ 功能驗證系統榮獲富士通半導體有限公司(Fujitsu Semiconductor, FSL)選用。Certitude軟體讓該公司汽車事業部的設計工程師能夠提高驗證環境與智慧財產(IP)設計元件的品質,這是開發各種汽車電子應用所需微控制器(MCU)解決方案的關鍵。( d% O. z% U6 ]& Q5 W
3 E! C1 P3 V6 k) X) K2 G% Q
日本的設計團隊原本使用Certitude系統來評估現有暫存器RTL模擬式驗證環境的品質、提升內部IP品質,以及篩檢第三方IP設計是否符合該公司汽車應用所需「FR」產品系列(32bit RISC CPU)的品質要求。基於目前為止的正向成果,富士通半導體將擴大部署Certitude軟體以供德國蘭根與慕尼黑團隊使用,著手開發新一代汽車半導體產品。
" ?7 x( U) D' ^8 H' N. Y; Y5 B  ~: h+ ]% N2 F. Y
富士通半導體汽車事業部總經理Yasuaki Dokko先生表示:「供應裝置給汽車市場時,我們目前的驗證環境足以滿足目前所需的品質。但藉由使用Certitude我們更能夠客觀地衡量自家驗證環境的品質,做更進一步的改善。將Certitude系統引進到我們的『Cedar』 ASIC 設計服務流程及以覆蓋率驅動的驗證環境裡,我們可以得到更扎實可靠的驗證。我們相信這可以幫助我們提供更高品質的產品給客戶。
36#
發表於 2011-1-10 16:18:41 | 只看該作者
富士通半導體設計團隊使用Certitude先進的變異基礎測試(mutation-based testing)技術、智慧型偵測演算法,以及獨家反覆式的開發方法(iterative methodology),以取得徹底驗證的早期指標,快速找出和修正錯誤,以及提高testbench穩固性,以滿足嚴格的汽車品質標準。此系統容易與思源科技屢獲嘉獎的Verdi™ 自動化偵錯系統整合,讓工程師們能夠增強具體化、周延性以及驗證結果的偵錯。
. d7 k* D7 y) V
: A7 j3 h* Y0 r/ a8 S' X7 h$ k3 A思源科技產品行銷處長George Bakewell表示:「對於富士通半導體的汽車設計團隊而言,確保嵌入式IP與微控制器解決方案的最高品質是最重要的,這需要最新的驗證環境與技術。Certitude為以RTL為基礎的工具和作法提供順暢、高度自動化的驗證流程,儘早找出最大的問題、更快速揭露驗證工作的主要漏洞,以及產生比傳統涵蓋方法更完整而且客觀的結果。」
0 \# T" f* g  E, I
' g" Y9 e* B6 A% \6 K& E2 S9 E* q關於Certitude功能驗證品管系統
! S' J, w/ g. M: @$ r7 ^* p/ r0 k6 c/ g) H; X" u
Certitude™ 功能驗證品管系統消除驗證的不確定性,並加速複雜IP與SoC設計的功能收斂;獨家自動化技術結合變異分析技術與靜態分析,以評量效率、找出重大缺點,進而提高HDL模擬驗證環境的品質。Certitude系統具備與既有工具流程的相互操作性,並且與現有全部功能驗證方法完全相容,也是思源科技的Novas™ 功能驗證強化產品系列中不可或缺的一環,讓工程師們能夠以更短的時間完成更多驗證工作。
37#
發表於 2011-1-19 08:14:58 | 只看該作者
矽谷新創公司PARADE TECHNOLOGIES採用思源科技VERDI偵錯系統執行數位視訊介面晶片驗證
5 A9 ^0 K2 B6 f6 ?9 u
" w" F- ]  \. s2011年1月17日台灣新竹 — 專業IC設計軟體全球供應商SpringSoft思源科技今天宣布,頂尖視訊顯示器與類比高速介面IC供應商Parade Technologies Ltd.已經選用Verdi™自動化偵錯系統作為標準偵錯平台。屢屢獲獎的Verdi軟體已經部署在Parade位於中國上海的設計中心,大幅縮減偵錯時間並加速數位顯示介面晶片的功能驗證,這個晶片支援最新的高清晰度多媒體介面(High Definition Multimedia Interface,HDMI™)與DisplayPort™標準。5 t  g! x2 R7 H  m; g1 L

: I+ w; I9 k7 }1 a' F9 f1 L& nParade是無晶圓廠半導體公司,專精於開發視訊顯示器與類比高速介面IC,運用於各種個人電腦與消費性電子應用,包括數位電視與LCD面板。Parade是全球半導體聯盟(Global Semiconductor Alliance)公認的2009 & 2010「最有潛力新興企業」獎入圍者,結合驗證有效的高速類比、混合訊號和數位設計專業與系統層知識,以提供先進的解決方案,將產品效能、能源效率與客戶滿意度推上新高。
38#
發表於 2011-1-19 08:15:13 | 只看該作者
Parade行銷副總裁Jimmy Chiu表示:「我們才剛起步,面對莫大的壓力,必須確保產品具有競爭力和高品質。事實上,數位視訊技術越來越複雜,全新世代與介面標準又不斷地推陳出新,這對我們的邏輯驗證工程師們而言,更增加了挑戰性。幸好,Verdi追蹤設計問題的功能強大且快速,遠勝過使用邏輯模擬器內嵌的偵錯工具。因此,我們能夠全面加速驗證工作,更有信心地將設計投入試產。」/ x1 t5 y1 J. C& m; \. y
3 B  a: x  v; r7 O, P
Verdi自動化偵錯系統是思源科技的旗艦級先進偵錯產品,透過自動化的理解複雜IC與系統晶片(SoC)設計工作的過程而縮減一半的偵錯時間,在不熟悉的老舊設計元素或第三方智慧財產方面尤其實用。這套系統以獨家的分析引擎將原本須長時間執行的特性追蹤自動化,提供不同的面向觀察晶片的具體設計,並幫助分析因果關係,還使用專利技術來揭露設計、斷言與系統testbench之間的功能運作與互動。
6 o* Y+ F7 a, G" Y" s: J( A( Y1 j9 y+ t& N6 F
思源科技大中國營運主管許偉表示:「我們很榮幸,在中國擁有設計中心的最有潛力新興IC公司Parade Technologies選用Verdi來提高核心設計能力,作為其驗證流程的重要環節,擁有足夠的實力支持全球主流的OEM客戶。思源科技致力於中國IC設計產業共同成長,持續的在我們的專業自動化技術方面進行投入,希望能夠使本地區半導體產業鏈上的大大小小的公司都能夠獲益。」
39#
發表於 2011-1-31 16:34:12 | 只看該作者

思源科技VERDI低功耗設計偵錯模組榮獲DESIGNVISION 2011大獎

2011年1月31日台灣新竹 — 專業IC設計軟體全球供應商宣布該公司的Verdi™低功耗設計偵錯模組榮獲2011 DesignVision大獎,這是United Business Media LLC (簡稱UBM)旗下UBM Electronics的The EE Times Group主辦的一項年度大獎。今年的頒獎典禮將在2011年2月1日於美國聖克拉克會議中心,與DesignCon 2011 Conference同時舉行。
# V% G4 r! L6 L, [$ k5 Z- P" z" t: [# ]* [& P3 V
DesignVision大獎表彰業界最有創意的半導體公司、最卓越遠見與最成功設計工具的成就。UBM依據市場前瞻性、產品原創性以及品質卓越性為基準,在八大產品類別中挑選出令人驚艷的產品;思源科技Verdi™低功耗設計偵錯模組在系統建模與模擬工具類別的入圍者中脫穎而出,獲頒大獎:
; h% H4 ^' V8 V" R- thttp://designcon.techinsightsevents.com/designvision_awards$ u! Y8 O* [, L5 c5 T) n# g
: k( Q  ^1 x7 ~7 M1 i, I1 [- O
Verdi低功耗設計偵錯模組於2010年2月上市,簡化低功耗系統晶片(SoC)設計的驗證過程,加速理解低功耗設計意圖,並對低功耗相關設計特性進行自動化追蹤與分析工作。Verdi針對低功耗設計格式標準與設計偵錯自動化的獨特整合,讓工程師們節省在多重電源領域、多變功耗模式與眾多功耗移轉(power transition)的寶貴設計驗證時間。有關說明Verdi低功耗設計偵錯方法之功能與優點的詳盡技術白皮書,歡迎至思源科技網站查詢:www.springsoft.com/whitepapers/power-aware-debug
/ m! @; q9 e1 j
. Y1 H4 }0 E; m7 `思源科技董事長暨執行長呂茂田表示:「思源科技非常榮幸能夠獲頒DesignVision大獎。我們瞭解客戶需求然後提供與眾不同產品與專屬自動化技術,協助客戶滿足市場需求的價值能夠獲得表彰,尤其令人高興。這些原則就是本公司開發策略的核心,促使本公司Verdi研發團隊能夠運用通用低功耗偵錯平台彌補令當今SoC開發人員頭痛的功耗驗證鴻溝,更輕鬆地解決設計早期暫存器轉換階層的錯綜複雜功耗課題。」
40#
發表於 2011-2-15 15:59:05 | 只看該作者

力晶科技採用思源科技LAKER系統作為記憶體晶片設計的標準平台

2011年2月15日台灣新竹 — 專業IC設計軟體全球供應商思源科技今天宣布, 力晶科技(Powerchip Technology Corporation)採用Laker™客製化佈局自動化系統作為記憶體晶片設計的標準平台。力晶科技提供大量DRAM產品,例如DDRIII/DDRII DRAMs,並開始大量生產NAND快閃晶片。運用Laker系統方便好用的工具與自動化設計流程,提高其設計團隊20%的生產力。) h( Q3 Q$ q- y/ ]

+ p7 W, {* ^# G/ Q4 a. T& \透過讓開發部門內更多的小組都能夠享用Laker軟體,力晶科技提高了生產力,並且縮短開發循環,而加速現有記憶體產品與新一代高密度記憶體晶片的生產前置時間。力晶科技研發副總經理陸續博士表示:「我們公司藉由強化自己的競爭優勢,盡力使自己的產品陣容更豐富。Laker以設計卓越和省時為特點,讓我們的設計團隊能夠達成嚴苛的產品目標與交貨時程。」* z7 k$ r8 T) d$ [* X  t
$ Y8 C& K. r8 |8 y$ ]  p( u
思源科技實體設計事業群副總經理李炯霆表示:「Laker是高度自動化的客製化晶片設計環境,具備全功能電路圖編輯器、Magic Cell技術以實現自動化裝置產生,還有完整的電路圖導向佈局流程以加速實體設計實現。這些功能與高水準的生產力息息相關,而且方便好用,讓力晶科技的設計與代工服務團隊能夠聚焦於自己的核心能力,並以更少的時間提供更優異的記憶體解決方案。」
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-17 05:21 PM , Processed in 0.128516 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表