Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 8237|回復: 4
打印 上一主題 下一主題

[問題求助] NCVerilog + Debussy 使用方法

[複製鏈接]
1#
發表於 2007-3-5 23:50:55 | 顯示全部樓層
怎麼simulation?
& V( a( e; @* @$ q2 U+ f& H我通常都是下這樣的指令
7 h1 ]+ G  ~1 f( jncverilog -f verilog.f +access+r +......之類的
- ~/ ]" t% Y. P5 `  q2 R1 M其中-f verilog.f
: F! d8 z4 L) d/ Y是可以在.f檔中加入自已要compiler的檔 EX: top.v core.v mod1.v mod2.v...7 h# c4 g$ `, u; r
+access +r 是對文件的存取權2 i# ~% f, r% S% W
而......的話
. F6 _) m" k6 _% N7 [也可以針對你在bench中的描述而下 EX: +rd_mode +wr_mode ....4 p( ]" [0 x: ^4 E1 {) `
1 L2 z. G7 \9 [' Q2 h- @$ x# x' ?
當然也可以這樣下
4 S* y3 @5 @& g2 c* w: ]- e% |ncverilog top.v core.v mod1.v mod2.v +access+r +rd_mode
/ o! A$ `' G( B" m( ^' F5 o0 X' y) y! X6 v6 E
不過別忘了若需要用debussy來看waveform
5 I& O$ U( b4 O, ?2 q; u需要加dump的指令喲$ \6 s- u6 b8 H' X7 A
這個就給你一個作業~~上網查吧~~~
, A6 v, r* O% m) B5 ^9 {0 n& h9 r" w. v8 D6 I5 V6 _3 z
[ 本帖最後由 sakho 於 2007-3-5 11:52 PM 編輯 ]

評分

參與人數 1Chipcoin +2 收起 理由
tommywgt + 2 感謝啦!

查看全部評分

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-15 04:24 PM , Processed in 0.099512 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表