Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 9455|回復: 6
打印 上一主題 下一主題

[問題求助] Vhdl 彈跳開關 問題

[複製鏈接]
1#
發表於 2009-1-13 12:10:32 | 顯示全部樓層
VHDL Debounce_Process 寫法,你也可參考ISE VHDL範例 9 w. S6 a$ k2 L: [, b$ `* r2 n
, x, ]; k) b: k) o
process(clk,button)
2 R. m% R3 ^# p( D( h begin
9 f- {, e& X5 g if clk'event and clk = '1' then
1 y* w! O# d- U4 G9 @  O button1 <= button;
2 B+ u4 g# |* r  T end if;! D7 y/ A- m* X: z% @
end process Debounce_Process;
, h8 V8 O( o+ q2 V7 o' g+ ` mybutton <= button1;
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-19 09:24 AM , Processed in 0.097013 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表