Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 9428|回復: 0
打印 上一主題 下一主題

[問題求助] 如何用verilog 寫矩陣乘法器

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-11-30 14:33:38 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
請教一下各位前輩  H: C8 j( }7 ?; U9 f, o+ i
我在寫一個矩陣乘法器 , 8 bits * 8 bits
  n# n  X! Q& l7 W$ B9 |; E3 X% t例如: . G. ]" K; d3 R/ |  I- N* Y( i; I
Z = X * Y
1 T+ u4 i+ |1 F( ~8 s, m) t- L* {
# ?) N, X) U3 m6 c# T' m; XX,Y 都是 3x3 矩陣
. Q7 d5 v' S! z5 O+ cX要從 記憶體 A 拿出數值0 O' m9 p5 Y0 _7 W
Y要從 記憶體 B 拿出數值
+ n7 E5 c) \0 O然後我在做 X*Y 後把數值存到 Z# o# o2 t, k# |# ?5 ^% U
Z再把所有數值存回記憶體 C
' }, K6 L+ ~: a! p, U% _( Z0 ]0 S5 o1 k5 p; C+ I, }0 B+ O/ a
請問有前輩可以提供類似的語法給我參考一下嗎?
) e# V6 e: b2 c! f" o1 _我不會寫要怎麼寫一個ram4 R. J- `7 N  `5 u7 t& H) K
像是 module ram  P% u! }0 h6 L' U4 b# g( [
module ram (clk,wen,addr,datain,dataout); 5 Z( S8 G% U3 b  d1 b' }& n/ |
   input clk,wen; # A( T! R* P5 U4 G
   input [3:0] addr; ! {3 M0 y* }2 A7 Z
   input [31:0] datain;
8 `2 `2 m" ]6 n5 d7 B   output [31:0] dataout; ' }1 G' J$ Y. J% J/ G1 l+ U9 k
   reg [31:0] dataout;   4 L/ ~* e: x$ O+ H( E! f0 r: W
endmodule & E3 c# m6 y" ?( T( f
我要怎麼寫這3個ram?9 X( v: j3 V* b( g4 P( I
然後再從裡面拿出數值之後在儲存數值回去另一個記憶體
2 I4 }% s) e9 G" W9 ?$ D6 i% |7 z$ Q( q2 I
請高手提供一點意見給我, 謝謝
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-6 04:55 PM , Processed in 0.099006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表