Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4925|回復: 5
打印 上一主題 下一主題

[問題求助] 如何購買Mars-1270-S Altera MaxII CPLD開發板

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-11-25 08:36:11 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
我在網路上找到這塊實驗版,應該是對岸做的,請問有人知道台灣有賣嗎?
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂2 踩 分享分享
6#
發表於 2008-12-5 21:51:08 | 只看該作者
Hi 初學者,想學CPLD嗎?
7 f+ [( a: b- i/ J9 u5 ]3 T! D我知道有一個老師,他出書也做CPLD學習板,應該很適合初學者,且可選CPLD容量,
/ |  ~& y' w) Y; T" D6 R4 r6 E使用EPM240的好像700~800元台幣,本人覺得有點貴,跟他殺殺看吧!
/ v, R( z% w. A7 H不然跟我講一下,我去跟他講一下,看要打多少折給我!& {" s" J2 {! G9 U
網址如下:- K0 e9 ]" j' w1 a8 U( ~
http://www.sineasy.com/# L! W' [7 ~. z" z
裡面有聯絡方式哦!8 A. m! o3 t* Y! e6 x) d
5#
發表於 2008-11-25 21:49:12 | 只看該作者
CPLD實驗版的價格是多少我不清楚,但DE2的學生價我認為可以買,在加上他是用cyclone FPGA,可以設計的電路遠遠比CPLD大好幾倍(可能有百倍),建議多花一點錢讓自己的身手能有效的發揮,不要花錢買綁手榜腳。1 i! Y$ K7 }% D' p' t
另外CPLD和FPGA的用法一樣的,只是容量大小而已。
4#
發表於 2008-11-25 20:37:25 | 只看該作者
您好
7 s# F7 r( ?* N/ _3 y1 a還有一款請參考
* J1 _* V2 {" Fhttp://www.gfec.com.tw/pro_flypa ... al=&p_serial=11
  ?* f7 K3 y8 M  V4 w週邊有多了一點點,不過覺的還是不夠多,就看你的評估了' p6 G1 c1 V9 R. U& m0 a3 D

/ b) Q" ~) ?* g4 I請問你的預算大蓋多少呢,不然買fpga時驗板覺的較划算
3#
 樓主| 發表於 2008-11-25 20:24:46 | 只看該作者
謝謝您的推薦,看過這一款的規格,周邊確實太少了,且I/O沒有全部引出!
4 u# ~- ?( J7 f) Z( @2 }
5 {$ k, W% G$ F5 b: s" \) T我是初學者,目前暫不考慮使用FPGA。
7 ]' |/ j( c* n- O: a" i- u
  \1 Y  M0 j- \1 F# C# H4 C+ z謝謝。
2#
發表於 2008-11-25 19:14:57 | 只看該作者
您好- B6 i8 t, J' @  Z8 d
可以參考這一款
/ s2 z4 l# o) V& Z& S6 |% p6 e& t, Jhttp://www.terasic.com.tw/cgi-bi ... oryNo=38&No=216
% \7 p  [! v" g5 A! K9 [" l不過個有缺點,週邊太少只有LED及push switch
1 J% ]6 H% F8 B7 ^* l/ h+ q+ ?* |" c5 j  H# I
不如直接買FPGA實驗板DE2算了,如果預算夠的話
8 V" a$ p4 w" r. K" Q0 r" F3 l  W# W6 `, {% m  e. {7 n: k8 T
[ 本帖最後由 addn 於 2008-11-25 07:17 PM 編輯 ]
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-4 06:25 AM , Processed in 0.106006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表