Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 16785|回復: 2
打印 上一主題 下一主題

[問題求助] [verilog]inout port的用法

  [複製鏈接]
1#
發表於 2008-8-26 08:21:14 | 顯示全部樓層
module bidir_port(oe, clk, bidir)
5 J8 e! W5 L4 w( R, X! `7 X# X* N+ v" B' n
input oe;   // 即你所寫的oe
  H" M9 w8 h* O3 n6 a* Sinput clk;) E$ P( e! p+ ]9 ~6 Q% {
inout bidir;
# U1 b1 v. o" E* z  o. k# D6 f( y2 y/ z/ V' B. Y  I( t0 C
wire a;   // 要丟出去的資料
. f% X$ v* I$ F+ a1 Areg b;    // 讀資料進來處理的位置4 j0 n  T$ z( N# T3 V8 R2 G$ Y
2 T. e  w* Z0 c4 K" V: t/ B, N! g
3 l6 o& p4 l2 X6 @: m, ?! |, v
assign bidir = oe ? a : 1'bZ;9 V/ Y8 R7 I, y0 Y

, w8 i# n! |2 Q+ [always @(posedge clk)
, ]! ]! J9 d' W8 I- A6 obegin4 |, o  N$ V( t: }. p' i
  b <= birdir;. Y& t0 k  ]9 o* U' ~2 f* W( A
end
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-2 11:39 PM , Processed in 0.095006 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表