Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 46328|回復: 47
打印 上一主題 下一主題

I2S(Inter-IC Sound Bus)

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-5-31 09:39:09 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
I2S(Inter-IC Sound Bus)是飛利浦公司為數字音頻設備之間的音頻數據傳輸而制定的一種總線標準。在飛利浦公司的I2S標準中,既規定了硬件接口規範,也規定了數字音頻數據的格式。I2S有3個主要信號:1.串行時鐘SCLK,也叫位時鐘(BCLK),即對應數字音頻的每一位數據,SCLK都有1個脈衝。SCLK的頻率=2×採樣頻率×採樣位數  2. 幀時鐘LRCK,用於切換左右聲道的數據。LRCK為「1」表示正在傳輸的是左聲道的數據,為「0」則表示正在傳輸的是右聲道的數據。LRCK的頻率等於採樣頻率。3.串行數據SDATA,就是用二進制補碼表示的音頻數據。 有時為了使系統間能夠更好地同步,還需要另外傳輸一個信號MCLK,稱為主時鐘,也叫系統時鐘(Sys Clock),是採樣頻率的256倍或384倍。一個典型的I2S信號見圖。( I2S信號)
( S3 H8 x1 x9 a6 ?
# S- e* X" ]$ W" G" H. T& V
遊客,如果您要查看本帖隱藏內容請回復

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x

評分

參與人數 1Chipcoin +3 收起 理由
jacky002 + 3 交流分享心得!

查看全部評分

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏1 分享分享 頂2 踩 分享分享
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-3 01:20 PM , Processed in 0.102005 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表