Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 8464|回復: 2
打印 上一主題 下一主題

[問題求助] VHDL輸入判斷

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-2-10 01:18:35 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位大大,想請問一下,我現在要做,當我鍵盤連續輸入,結果只取最後一個鍵盤輸入的值6 W& A" X4 E: s0 h
, _' q# {4 l3 H5 f' J0 |+ f: I: ^2 d
這是一個自動控制鍵盤的控制器,我可以先輸入數字,然後再輸入指令,我的機器就會動那個指令n次( c+ Z& ^* q6 E& L

. @/ _4 Z3 _4 f; ~) S( x/ a. y例如,先按5再按前進,自東車就會前進5次,如果只按前進,則自動車會自動前進1次(預設值)
& s3 p$ i. e% o9 V  h2 ?7 r  v, o
* i/ C# Z' }" _& u$ M8 o% q當我遇到連續輸入字數時,我不管要輸入多少數字,永遠取最後一個的數字當動作次數$ q; n  F& e! |# |' d$ V

7 s, U; i3 m4 r. g+ ]. J現在我卡在不知道如何判別這種機制,希望有高手可以給我一點提示幫我解決,謝謝!9 n9 E$ k4 d- N, \& m6 Q

/ T: [0 F8 B1 q8 J8 |; ?. Elibrary IEEE;
3 O( V, A# f! @( i( h3 Muse IEEE.STD_LOGIC_1164.ALL;: R) _9 C4 @# t# q7 O8 J; b4 U
use IEEE.STD_LOGIC_ARITH.ALL;+ x2 h7 W! e& C
use IEEE.STD_LOGIC_UNSIGNED.ALL;
  z# h3 H; ]- d7 {9 nENTITY key_controller IS4 G: b% }: f& \
   PORT( 9 j. O6 t4 `1 C8 ]
      clk     : IN     std_logic;! a( o4 I! H4 Z8 o1 m
      col     : OUT    std_logic_vector ( 3 DOWNTO 0 );
0 H+ J' L/ ?' X9 L      key_out : OUT    std_logic;6 j; Z  {- N+ L
      row     : IN     std_logic_vector ( 3 DOWNTO 0 );/ p/ \: _1 |+ V
      digit   : OUT    std_logic_vector ( 5 DOWNTO 0 )
6 p/ n+ ?0 |- G) X- n1 `   );! o- z9 g  q) `. b+ Z: X" L# |
END key_controller ;
/ J/ m& Z6 `3 O" L* B1 S/ _architecture behavioral of key_controller is
& t' t1 C7 p2 L, `7 K( Xsignal clkm        : std_logic;4 f! m. F1 v0 ~7 Z  {% t
signal key_pressed : std_logic;! {' f. [" ?$ A# n8 b: j: M
signal key_valid   : std_logic := '0';: d. A+ ?, z7 x/ Q* |% u
signal dbnq        : std_logic_vector(  6 downto 0 ) := ( others => '0' );  --debounce5 C5 E3 m" X, P9 d1 b
signal scan_cnt    : std_logic_vector(  3 downto 0 ) := ( others => '0' );) d$ c$ W8 Y; m, X+ s& ^8 Y+ Y" U& Y
signal cmd_buf     : std_logic_vector(  2 downto 0 ) := ( others => '0' );% d% A8 H, a+ {# L' i
signal num_buf     : std_logic_vector(  2 downto 0 ) := ( others => '0' );
( B6 O% W; ]# y3 S: Rbegin" c+ Y/ ]* |) P2 N8 z6 F) x: l
count : process( clk )& D+ T0 |! {0 y; C1 _+ X& ]; k
  begin
; j0 h# [  y: I: D) K6 l8 u9 `    if rising_edge( clk ) then
+ k9 ~" }& z' p       count_t <= count_t + 1;
8 A) I; s, E6 G/ m/ [, u) y    end if;% A% _2 [5 E+ v/ f& {
    clkm <= count_t( 15 ); --scaning clock generaterd
& ~5 i$ Q' x1 R  end process;  
8 O% H8 s5 |1 z% A-----------------------------------------------
# P" K$ C; h! t8 k* y- l) qkeyboard: process( clkm, scan_cnt, key_pressed )7 S- p1 F: @1 L2 }
begin' h4 a4 x% u) ]: n; `' D. D- p
--scan_cnt is a 4 bit up scan counter  
4 ~/ l/ p/ |* V9 _' G/ p case scan_cnt( 3 downto 2 ) is --keypad column scaning, decoder functional
: p: \0 \8 `- ?. L; v/ v, _ when "00"   => col <= "0111";2 [6 F7 @* t  Y* R# L) f- i
  when "01"   => col <= "1011";2 K: e6 q7 W( f! l; ?6 n4 S! a
  when "10"   => col <= "1101";
- J4 V- S+ j+ C% `3 v0 `5 v  when "11"   => col <= "1110";
2 I  ^4 l1 L: c6 m" m! d  when others => col <= "1111";  
( b9 A5 b6 Q) |! q0 t: E  end case;' P. q  @6 K' b- Y! V
   ---------------------------------------------4 O/ V0 a6 I$ a
  case scan_cnt( 1 downto 0 ) is --keypad row scaning, mux functional: `4 G! R9 E/ k1 u& y
when "00"   => key_pressed <= row(0);* f: N1 W& |2 g$ ~' [5 h% P% T
when "01"   => key_pressed <= row(1);
  T# e4 \8 G$ X! }; V  when "10"   => key_pressed <= row(2);' x/ k# @6 f& n
when "11"   => key_pressed <= row(3);
8 a/ ^. I) d* P+ _5 n  when others => null;
7 v) c; h3 ?, n+ d$ r6 i$ j# T  end case;* u- H3 F$ x; |- r
----------------------------------------------------------------
- g2 m9 i, D5 |) D+ X  if  rising_edge( clkm )  then
9 X' h7 s: a4 E. H    if key_pressed = '1' then --no key_pressed in, continue to scan
" \/ `5 W5 i& s/ E      scan_cnt <= scan_cnt + 1;
8 D* q. U. J* G' w  H    dbnq <= "1111111";
6 F0 b1 }4 _9 U    elsif key_pressed = '0' then --key_pressed in9 R' a0 ]1 m3 i# w" K! }% {
          dbnq <= dbnq - 1;% s, f  n  R  V( ~3 L! m% h; ^2 i- U
    end if;
, C8 D2 P: K. z0 P' z$ A/ ]& _  K% P----- debounce            
- `2 z) n+ p5 ^6 S! J+ X    if dbnq = "1001111" then --key_pressed debounce
" G; }0 Q  @! k; G7 \- j       key_valid <= '1';          & R9 s: Z' w$ d
    else key_valid <= '0';( ~( r$ G& H7 f: y" O
    end if;                   9 t# {$ a# P$ |- r, `4 T
   end if;
; N7 Y% v2 S, V" }) u--   scan_out <= scan_cnt;
, ?/ R3 T# E  l3 J% @0 r* |# L# d  end process;
; c( Y, v# B# h8 Q  D! |! N---------------------------------------------------------------------------
% p( W9 n: O. Wkeycode : process( clk, scan_cnt, key_valid )
+ t" h6 n1 R( E& ^9 t* J  begin
3 y# n9 w8 o$ b  l8 C- z------------- asynchronous expression
( n4 }' A2 y* B' s    if key_valid'event and key_valid = '1' then. a9 L% {5 h" J
    if scan_cnt( 3 ) = '1' then
* b5 G: t' Y( Z       cmd_flag <= '1';
( o! P# y& c* E9 x       cmd_buf <= scan( 2 downto 0 ); --command store into ram7 P- G! W; C0 o- A
    elsif scan_cnt( 3 ) = '0' then6 t$ A0 p) T6 o
          num_flag <= '1';     
* r* N4 e3 D( |6 b8 M( D- ^& ?          num_buf <= scan( 2 downto 0 ); --numeric store into ram+ @' I* S; o  y/ Z/ E- ^& E5 G
    else num_buf <= "000", --numeric store into ram   
7 I/ A6 d, q% n1 p6 M( g           cmd_buf <= "000"; --numeric store into ram, ?! v, [. X0 j+ g2 ^' g/ G
           num_fleg <= '0';/ T0 U3 p" z! @- f) r7 w
           cmd_fleg <= '0';5 E3 h( X' ]  O4 Y* M+ \
      end if;8 G% G6 M1 E" k- R3 ]' L0 v
       digit <= cmd_buf & num_buf; -- out of key controller
7 K- G5 h; t5 _    end if;
' F* {& G: k) o1 V9 T$ v$ U  end process; ' [4 t. i' F8 P* t. D# A
-------------------  
& g: {3 X( L+ V  H! T  key_out <= key_valid;
; G1 B8 @- B6 E& w, ]) J! k  digit <= seg4bit;& n% B% w7 v% k7 f6 |& x6 @
end behavioral;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂8 踩 分享分享
2#
發表於 2008-2-10 11:39:05 | 只看該作者
說說看我的想法+ A# W1 u# K: K$ B& D" ^0 ^+ R
2 e6 }0 F# L, e: Q* U3 h$ h  D" O
設定一個 前進次數 的變數$ r/ d( W, g" U! V$ u, `
default給1
1 Q0 d; r& t% m" N; A0 g
- W+ ^( p) r) `6 [9 W接著去抓你鍵盤的Input% K8 H% p. c5 p
只要有數字出現,  就把 前進次數 的 value 用現在的input  取代掉
; S0 g$ ^1 b3 x& D8 s# V+ z% R" O3 h! x8 q& `) p
直到你 按下 前進   啟動了  前進 這個副程式6 g! J5 b: U( s
這個副程式就會去抓  你目前  前進次數 的值! G+ \' ^$ F! A# j& E* w
開始做遞減的運算
! v5 m1 J0 B- p5 n) \2 q: O- Y+ W8 l7 S! m% h4 x  N. a/ a4 z
每前進一次  這個變數就會減1  (或是先減一再前進完全看你設定迴圈停止的條件是什麼?)- v7 x+ I: ^) [; c- t) A, Z
直到那個前進的次數到達時
2 Y& h' V4 x% x3 q前進的 副程式就會停止動作

評分

參與人數 1Chipcoin +3 收起 理由
masonchung + 3 言之有物!

查看全部評分

3#
 樓主| 發表於 2008-2-10 14:41:54 | 只看該作者
真是非常感謝你的意見) K6 I) I) m, _! k; N5 ]

7 S- ?6 {, F* E希望以後也還請多多的指教!
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-8 01:44 AM , Processed in 0.113006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表