Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 9702|回復: 3
打印 上一主題 下一主題

[問題求助] 想詢問使用DC合成,為何硬體卻動不了

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-3-27 21:48:44 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
本帖最後由 lj0113 於 2011-3-27 10:07 PM 編輯 4 n6 ?1 W' H. V( t+ n0 Z- R
, S! [5 T7 {8 p$ D$ v9 _* A4 t
各位先輩:2 V% k' A3 J: a. v, z9 y

$ o3 {; |* H7 ?$ g. W小子目前在處理一個硬體,合成後其輸出waveform經過reset,然後再幾個clock cycle  其輸出就開始呈現unknow狀態
8 b7 @) S% o* Z% p& l由於這個硬體不是我負責  我得負責把它合成出來....造成我不確定原因是否是rtl亦或是我DC constraints下的不夠好
' P) w8 ^$ V1 u, u但我知道有人合成完後,電路是可以function work(不過我實在不太好意思  一直去打擾那人= =)7 z# ^+ I9 t9 m
) r. q# g' H5 O7 J( K9 ?! o. }
以前我用類似這樣的constraint去合成比較小的電路都是ok的,目前這個電路真的比較大,所以我在想說百分之百一定是DC這邊constraint設定不好= =0 L+ W  M: Z/ A& B% l
導致我合成出來的硬體造成輸出都是unknown$ Q3 r2 r: Z- ^: @- ^
K了一些資料  但尚未發現一絲一毫哪裡有錯....! G0 l7 Q# H, R, i: w0 x$ F
我的constraints大致設定如下東西   使用的製程是TSMC 0.18um:
  J# J, G9 {' E- i$ K) C. D+ M- v0 X( p) Q, u8 {( T( f
建立clock1 {) ?, i% @% K$ J1 L  _( M

8 ~( U% j+ E3 d$ _5 Pset_wire_load_model -name tsmc18_wl10 -library slow; F$ z( ?4 l% ]
set_driving_cell -library slow -lib_cell DFFX1 -pin {Q} [remove_from_collection [all_inputs] [get_ports clk]]
# i3 C" {: t5 t# s9 mset_driving_cell -library slow -lib_cell BUFX4 -pin {Y} [get_ports clk]
  \( M9 A( Y# ?set_input_delay  [expr $clk_in_delay + $clk_in_pad_delay]                  -clock clk [remove_from_collection [all_inputs] [get_ports {clk}]]
' y5 h. \& x: R8 t: S& K& s* fset_output_delay [expr $clk_out_delay + $clk_out_pad_delay]           -clock clk [all_outputs]
& ]% X! O. x& P8 A# Z1 @set_load  [load_of "slow/DFFX2/D"]  [all_outputs]& p, A4 O" Z' j' p
[remove_from_collection [all_inputs] [get_ports {clk rst_n}]]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-20 09:42 AM , Processed in 0.104013 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表