Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 18034|回復: 3
打印 上一主題 下一主題

[問題求助] 關於verilog的除頻器無法使用modelsim模擬

  [複製鏈接]
1#
發表於 2010-9-28 11:45:46 | 顯示全部樓層
小弟認為 testbench 應該不是主要問題,
/ r- k5 {: V  p* N6 t試試看你的同步Rst為1 時給 F20K 一個初值看看吧.. k* e. ?. s9 l3 U

$ n9 O3 Y2 [+ q一開始就沒值,你一直將他反向也沒東西,
! m2 \3 _3 G' U+ u. b# C你現在的simulation波形應該是 unknow 吧 ?2 m7 h, D" Q" L! g% J  `
3 @+ i1 x- L( U  ?+ a: w
FPGA 的 CELL 一開始就會有初值了5 {/ ^+ o: Q/ O- L: x9 T8 ?

" n9 U9 C6 u5 ?$ G: @* C- eif(Rst)7 ]' f. s- z, m# E* ?; Z" x6 V
begin& `- i( d& _; }
  B2000 = 0;& ?5 y6 S0 r. N5 J
  Count = 1;2 }- k1 H% @: [' A# W3 T3 ]
  F20K = 0;' Q# L0 e7 ]. B- g) N8 `, L2 `7 u
end

評分

參與人數 1Chipcoin +2 收起 理由
masonchung + 2 FPGA 的 CELL 一開始就會有初值 這是重點 ...

查看全部評分

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-7 01:51 AM , Processed in 0.102006 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表