Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 18036|回復: 3
打印 上一主題 下一主題

[問題求助] 關於verilog的除頻器無法使用modelsim模擬

  [複製鏈接]
1#
發表於 2010-11-18 17:28:41 | 顯示全部樓層
Please try this module...............2 T  n& P1 i4 o3 L
) t7 T: a' j( N

0 K8 E& a7 ]" y- l; _) q, x; u
0 S% m7 }" ^( l& M' ?: g+ E( [* w* C
  q8 s  O$ S3 F% `) r, U7 y2 F, w/ d
module F40M20M20k50(F40M, Rst, F20k);+ E' K3 Z) M$ I4 p
input  F40M;1 E# j3 z2 B6 t$ M2 [5 _
input  Rst;, \0 N) i! l7 \4 z3 b/ N. n
output F20k;
: u1 Q" \8 j( l/ R5 @* j3 k7 z8 `6 y! Z
reg F20k;9 D# q1 S* A6 o1 w  L4 E; V& }
reg [10:0]B2000;, y$ e: ~! Q" S, O: e, O' d
reg Count;% d' S7 L7 Z4 F( e
/ |: {( l( m# \& t- d1 L
9 \6 ^6 u- {9 d* K3 H2 \% j& k; W
always@(posedge F40M or negedge Rst)  Y% z" B7 i, j  b6 i  n
begin
9 X6 j+ q$ B( w! t* V2 J6 O5 o% K& S" [, b/ U
if (Rst)
7 B8 I! Q* e' [; z6 D* {" T begin
- ?  J9 L: U4 _* m$ h: E9 `  B2000 = 0;6 p  U. G" B: s9 D4 a" _
  Count = 1;
$ t9 S1 I# L$ e; V( s/ _ end% u! _# [7 C. |' q) s2 ?1 k6 R

; a7 U1 P9 i* R' P, Q) p: s else8 g7 Y7 W: V2 p+ U- v' A
begin! A7 G1 N4 T1 ~3 _3 S. e' ]
  if (B2000 == 2000)
, ^) G: a8 n' I! K) ~  begin
# t' V1 V) J% a: H9 k7 S9 w! x   F20k = ~F20k;
: i4 q+ H+ h9 R8 I3 }- |) B1 E! k   B2000 = 0;
- N1 l- G9 |4 O4 k1 ?4 j/ x  end
# [. w& o6 w7 B/ b) D1 ?: ^
( n# l) R( c  y( Q, ?9 a: x. m) ]8 I        else
0 U1 T$ ?  z9 S  B2000 = B2000 + 1;
6 r% k1 W' g! a: r" W  B7 N: Q( ?0 F3 i0 E
end
# ?2 i# _- _% v( a  _2 [' ]end$ Y+ U5 |; w* e: I, E5 }5 X
endmodule
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-7 07:21 AM , Processed in 0.097006 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表